Parent directory/ | - | - |
3proxy-0.9.4-r2.apk | 319.5 KiB | 2025-Apr-04 08:41 |
3proxy-doc-0.9.4-r2.apk | 24.7 KiB | 2025-Apr-04 08:41 |
3proxy-openrc-0.9.4-r2.apk | 1.6 KiB | 2025-Apr-04 08:41 |
66-0.8.2.1-r0.apk | 338.4 KiB | 2025-Jun-03 22:11 |
66-dbg-0.8.2.1-r0.apk | 756.0 KiB | 2025-Jun-03 22:11 |
66-dev-0.8.2.1-r0.apk | 1.6 MiB | 2025-Jun-03 22:11 |
66-doc-0.8.2.1-r0.apk | 190.4 KiB | 2025-Jun-03 22:11 |
66-init-0.8.2.1-r0.apk | 1.5 KiB | 2025-Jun-03 22:11 |
66-tools-0.1.2.0-r0.apk | 61.1 KiB | 2025-Jun-01 04:39 |
66-tools-dbg-0.1.2.0-r0.apk | 109.6 KiB | 2025-Jun-01 04:39 |
66-tools-dev-0.1.2.0-r0.apk | 2.0 KiB | 2025-Jun-01 04:39 |
66-tools-doc-0.1.2.0-r0.apk | 39.4 KiB | 2025-Jun-01 04:39 |
66-tools-nsrules-0.1.2.0-r0.apk | 2.9 KiB | 2025-Jun-01 04:39 |
APKINDEX.tar.gz | 905.7 KiB | 2025-Jul-27 00:55 |
a2jmidid-9-r3.apk | 26.7 KiB | 2024-Oct-25 23:05 |
a2jmidid-doc-9-r3.apk | 4.2 KiB | 2024-Oct-25 23:05 |
abc-0_git20240102-r0.apk | 4.8 MiB | 2024-Oct-25 23:05 |
abnfgen-0.21-r0.apk | 16.7 KiB | 2025-May-28 00:40 |
abnfgen-doc-0.21-r0.apk | 5.1 KiB | 2025-May-28 00:40 |
ace-of-penguins-1.4-r3.apk | 155.1 KiB | 2024-Oct-25 23:05 |
ace-of-penguins-doc-1.4-r3.apk | 48.6 KiB | 2024-Oct-25 23:05 |
acmetool-0.2.2-r14.apk | 4.4 MiB | 2025-Jul-10 05:26 |
acmetool-doc-0.2.2-r14.apk | 46.7 KiB | 2025-Jul-10 05:26 |
adguardhome-0.107.62-r1.apk | 10.5 MiB | 2025-Jul-10 05:26 |
adguardhome-openrc-0.107.62-r1.apk | 2.2 KiB | 2025-Jul-10 05:26 |
adjtimex-1.29-r0.apk | 20.3 KiB | 2024-Oct-25 23:05 |
adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2024-Oct-25 23:05 |
admesh-0.98.5-r0.apk | 25.2 KiB | 2024-Oct-25 23:05 |
admesh-dev-0.98.5-r0.apk | 4.0 KiB | 2024-Oct-25 23:05 |
admesh-doc-0.98.5-r0.apk | 23.4 KiB | 2024-Oct-25 23:05 |
advancescan-1.18-r1.apk | 239.9 KiB | 2024-Oct-25 23:05 |
advancescan-doc-1.18-r1.apk | 7.3 KiB | 2024-Oct-25 23:05 |
afetch-2.2.0-r1.apk | 9.4 KiB | 2024-Oct-25 23:05 |
afetch-doc-2.2.0-r1.apk | 13.6 KiB | 2024-Oct-25 23:05 |
afew-3.0.1-r0.apk | 73.1 KiB | 2025-May-19 01:29 |
afew-doc-3.0.1-r0.apk | 12.4 KiB | 2025-May-19 01:29 |
agate-3.3.8-r0.apk | 758.0 KiB | 2024-Oct-25 23:05 |
agate-openrc-3.3.8-r0.apk | 2.0 KiB | 2024-Oct-25 23:05 |
agrep-0.8.0-r2.apk | 8.3 KiB | 2024-Oct-25 23:05 |
agrep-doc-0.8.0-r2.apk | 4.1 KiB | 2024-Oct-25 23:05 |
aide-0.18.8-r0.apk | 79.1 KiB | 2024-Oct-25 23:05 |
aide-doc-0.18.8-r0.apk | 13.8 KiB | 2024-Oct-25 23:05 |
alarmwakeup-0.2.1-r0.apk | 6.5 KiB | 2024-Oct-25 23:05 |
alarmwakeup-dbg-0.2.1-r0.apk | 18.4 KiB | 2024-Oct-25 23:05 |
alarmwakeup-dev-0.2.1-r0.apk | 2.5 KiB | 2024-Oct-25 23:05 |
alarmwakeup-libs-0.2.1-r0.apk | 4.0 KiB | 2024-Oct-25 23:05 |
alarmwakeup-utils-0.2.1-r0.apk | 3.8 KiB | 2024-Oct-25 23:05 |
alpine-lift-0.2.0-r24.apk | 3.6 MiB | 2025-Jul-10 05:26 |
alps-0_git20230807-r13.apk | 5.4 MiB | 2025-Jul-10 05:26 |
alps-openrc-0_git20230807-r13.apk | 2.0 KiB | 2025-Jul-10 05:26 |
alttab-1.7.1-r0.apk | 37.4 KiB | 2024-Oct-25 23:05 |
alttab-doc-1.7.1-r0.apk | 10.3 KiB | 2024-Oct-25 23:05 |
amber-0.4.0-r0.apk | 553.8 KiB | 2025-Jun-19 03:07 |
amdgpu-fan-0.1.0-r5.apk | 14.0 KiB | 2024-Oct-25 23:05 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9.6 KiB | 2024-Oct-25 23:05 |
amiitool-2-r2.apk | 6.7 KiB | 2024-Oct-25 23:05 |
ampy-1.1.0-r6.apk | 15.4 KiB | 2025-Mar-19 14:44 |
ampy-doc-1.1.0-r6.apk | 4.1 KiB | 2025-Mar-19 14:44 |
ampy-pyc-1.1.0-r6.apk | 19.4 KiB | 2025-Mar-19 14:44 |
amule-2.3.3-r13.apk | 4.1 MiB | 2024-Oct-25 23:05 |
amule-doc-2.3.3-r13.apk | 281.4 KiB | 2024-Oct-25 23:05 |
amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-Oct-25 23:05 |
anarch-1.0-r1.apk | 95.4 KiB | 2024-Oct-25 23:05 |
anarch-doc-1.0-r1.apk | 18.0 KiB | 2024-Oct-25 23:05 |
anari-sdk-0.7.2-r0.apk | 284.8 KiB | 2024-Oct-25 23:05 |
anari-sdk-dev-0.7.2-r0.apk | 58.6 KiB | 2024-Oct-25 23:05 |
anari-sdk-static-0.7.2-r0.apk | 202.4 KiB | 2024-Oct-25 23:05 |
android-apkeep-0.17.0-r0.apk | 1.9 MiB | 2024-Oct-25 23:05 |
android-file-transfer-4.5-r0.apk | 189.8 KiB | 2025-Jun-25 02:02 |
android-file-transfer-cli-4.5-r0.apk | 107.3 KiB | 2025-Jun-25 02:02 |
android-file-transfer-dev-4.5-r0.apk | 1.6 KiB | 2025-Jun-25 02:02 |
android-file-transfer-libs-4.5-r0.apk | 125.7 KiB | 2025-Jun-25 02:02 |
angband-4.2.5-r0.apk | 22.6 MiB | 2024-Oct-25 23:05 |
ansible-bender-0.10.1-r2.apk | 36.3 KiB | 2024-Oct-25 23:05 |
ansible-bender-doc-0.10.1-r2.apk | 10.1 KiB | 2024-Oct-25 23:05 |
ansible-bender-pyc-0.10.1-r2.apk | 65.0 KiB | 2024-Oct-25 23:05 |
ansiweather-1.19.0-r1.apk | 4.7 KiB | 2024-Oct-25 23:05 |
ansiweather-doc-1.19.0-r1.apk | 3.0 KiB | 2024-Oct-25 23:05 |
antibody-6.1.1-r29.apk | 1.7 MiB | 2025-Jul-10 05:26 |
antimicrox-3.5.1-r0.apk | 1.6 MiB | 2025-Jun-13 16:54 |
antimicrox-doc-3.5.1-r0.apk | 23.8 KiB | 2025-Jun-13 16:54 |
anubis-1.21.3-r0.apk | 8.6 MiB | 2025-Jul-25 18:52 |
anubis-doc-1.21.3-r0.apk | 2.3 KiB | 2025-Jul-25 18:52 |
anubis-openrc-1.21.3-r0.apk | 1.8 KiB | 2025-Jul-25 18:52 |
aoetools-37-r2.apk | 19.8 KiB | 2025-Jan-14 11:23 |
aoetools-doc-37-r2.apk | 13.8 KiB | 2025-Jan-14 11:23 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 56.2 KiB | 2024-Oct-25 23:05 |
apache-mod-auth-openidc-2.4.16.11-r1.apk | 227.6 KiB | 2025-May-22 09:53 |
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4.4 KiB | 2025-May-22 09:53 |
apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 292.6 KiB | 2025-May-22 09:53 |
apache2-mod-authnz-external-3.3.3-r0.apk | 7.2 KiB | 2024-Oct-25 23:05 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2024-Oct-25 23:05 |
apache2-mod-maxminddb-1.2.0-r0.apk | 10.6 KiB | 2025-May-19 13:23 |
apache2-mod-perl-2.0.13-r2.apk | 636.9 KiB | 2025-Jun-30 10:14 |
apache2-mod-perl-dbg-2.0.13-r2.apk | 75.8 KiB | 2025-Jun-30 10:14 |
apache2-mod-perl-dev-2.0.13-r2.apk | 38.0 KiB | 2025-Jun-30 10:14 |
apache2-mod-perl-doc-2.0.13-r2.apk | 304.0 KiB | 2025-Jun-30 10:14 |
apache2-mod-realdoc-1-r1.apk | 4.7 KiB | 2024-Oct-25 23:05 |
apk-autoupdate-0_git20210421-r1.apk | 13.0 KiB | 2024-Nov-20 01:34 |
apk-autoupdate-doc-0_git20210421-r1.apk | 7.0 KiB | 2024-Nov-20 01:34 |
apk-snap-3.1.1-r0.apk | 6.6 KiB | 2024-Oct-25 23:05 |
apk-snap-doc-3.1.1-r0.apk | 19.9 KiB | 2024-Oct-25 23:05 |
aports-glmr-0.2-r29.apk | 2.5 MiB | 2025-Jul-10 05:26 |
appcenter-8.0.0-r0.apk | 393.2 KiB | 2024-Nov-13 00:55 |
appcenter-lang-8.0.0-r0.apk | 258.3 KiB | 2024-Nov-13 00:55 |
aprilsh-0.7.12-r6.apk | 1.6 KiB | 2025-Jul-10 05:26 |
aprilsh-client-0.7.12-r6.apk | 3.2 MiB | 2025-Jul-10 05:26 |
aprilsh-doc-0.7.12-r6.apk | 14.4 KiB | 2025-Jul-10 05:26 |
aprilsh-openrc-0.7.12-r6.apk | 1.8 KiB | 2025-Jul-10 05:26 |
aprilsh-server-0.7.12-r6.apk | 2.4 MiB | 2025-Jul-10 05:26 |
apt-dater-1.0.4-r4.apk | 56.4 KiB | 2024-Oct-25 23:05 |
apt-dater-doc-1.0.4-r4.apk | 9.9 KiB | 2024-Oct-25 23:05 |
apt-dater-lang-1.0.4-r4.apk | 13.1 KiB | 2024-Oct-25 23:05 |
apt-mirror-0.5.4-r0.apk | 9.4 KiB | 2024-Oct-25 23:05 |
apt-mirror-doc-0.5.4-r0.apk | 4.6 KiB | 2024-Oct-25 23:05 |
apt-swarm-0.5.1-r0.apk | 3.1 MiB | 2025-May-26 13:56 |
apt-swarm-bash-completion-0.5.1-r0.apk | 4.2 KiB | 2025-May-26 13:56 |
apt-swarm-fish-completion-0.5.1-r0.apk | 5.7 KiB | 2025-May-26 13:56 |
apt-swarm-openrc-0.5.1-r0.apk | 1.9 KiB | 2025-May-26 13:56 |
apt-swarm-zsh-completion-0.5.1-r0.apk | 5.9 KiB | 2025-May-26 13:56 |
aptdec-1.8.0-r1.apk | 84.9 KiB | 2025-Feb-09 02:43 |
aptdec-dev-1.8.0-r1.apk | 3.4 KiB | 2025-Feb-09 02:43 |
aptdec-libs-1.8.0-r1.apk | 14.0 KiB | 2025-Feb-09 02:43 |
apulse-0.1.13-r2.apk | 35.7 KiB | 2024-Oct-25 23:05 |
apulse-doc-0.1.13-r2.apk | 2.8 KiB | 2024-Oct-25 23:05 |
apx-2.4.5-r1.apk | 3.5 MiB | 2025-Jul-24 04:27 |
apx-doc-2.4.5-r1.apk | 2.4 KiB | 2025-Jul-24 04:27 |
aqemu-0.9.4-r3.apk | 1.6 MiB | 2024-Oct-25 23:05 |
aqemu-doc-0.9.4-r3.apk | 7.5 KiB | 2024-Oct-25 23:05 |
arc-20221218-r0.apk | 1.7 KiB | 2024-Oct-25 23:05 |
arc-cinnamon-20221218-r0.apk | 68.0 KiB | 2024-Oct-25 23:05 |
arc-dark-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 23:05 |
arc-dark-cinnamon-20221218-r0.apk | 68.4 KiB | 2024-Oct-25 23:05 |
arc-dark-gnome-20221218-r0.apk | 27.1 KiB | 2024-Oct-25 23:05 |
arc-dark-gtk2-20221218-r0.apk | 38.4 KiB | 2024-Oct-25 23:05 |
arc-dark-gtk3-20221218-r0.apk | 93.3 KiB | 2024-Oct-25 23:05 |
arc-dark-gtk4-20221218-r0.apk | 86.2 KiB | 2024-Oct-25 23:05 |
arc-dark-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 23:05 |
arc-dark-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 23:05 |
arc-darker-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 23:05 |
arc-darker-gtk2-20221218-r0.apk | 38.5 KiB | 2024-Oct-25 23:05 |
arc-darker-gtk3-20221218-r0.apk | 123.7 KiB | 2024-Oct-25 23:05 |
arc-darker-gtk4-20221218-r0.apk | 110.2 KiB | 2024-Oct-25 23:05 |
arc-darker-metacity-20221218-r0.apk | 17.5 KiB | 2024-Oct-25 23:05 |
arc-darker-xfwm-20221218-r0.apk | 7.9 KiB | 2024-Oct-25 23:05 |
arc-gnome-20221218-r0.apk | 28.6 KiB | 2024-Oct-25 23:05 |
arc-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 23:05 |
arc-gtk3-20221218-r0.apk | 125.9 KiB | 2024-Oct-25 23:05 |
arc-gtk4-20221218-r0.apk | 113.5 KiB | 2024-Oct-25 23:05 |
arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2024-Oct-25 23:05 |
arc-lighter-20221218-r0.apk | 1.8 KiB | 2024-Oct-25 23:05 |
arc-lighter-gtk2-20221218-r0.apk | 37.5 KiB | 2024-Oct-25 23:05 |
arc-lighter-gtk3-20221218-r0.apk | 124.6 KiB | 2024-Oct-25 23:05 |
arc-lighter-gtk4-20221218-r0.apk | 112.8 KiB | 2024-Oct-25 23:05 |
arc-lighter-metacity-20221218-r0.apk | 17.3 KiB | 2024-Oct-25 23:05 |
arc-lighter-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 23:05 |
arc-metacity-20221218-r0.apk | 17.2 KiB | 2024-Oct-25 23:05 |
arc-theme-20221218-r0.apk | 1.4 KiB | 2024-Oct-25 23:05 |
arc-xfwm-20221218-r0.apk | 7.7 KiB | 2024-Oct-25 23:05 |
armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-Oct-25 23:05 |
armagetronad-doc-0.2.9.1.1-r0.apk | 91.9 KiB | 2024-Oct-25 23:05 |
asdf-0.18.0-r1.apk | 1.6 MiB | 2025-Jul-10 05:26 |
asdf-doc-0.18.0-r1.apk | 2.2 KiB | 2025-Jul-10 05:26 |
aspell-es-1.11-r0.apk | 533.0 KiB | 2024-Oct-25 23:05 |
asymptote-3.04-r0.apk | 1.4 MiB | 2025-May-31 09:51 |
asymptote-doc-3.04-r0.apk | 3.1 MiB | 2025-May-31 09:51 |
atac-0.18.1-r0.apk | 4.8 MiB | 2024-Nov-26 00:43 |
atomicparsley-20240608-r0.apk | 115.3 KiB | 2024-Oct-25 23:05 |
atool-0.39.0-r4.apk | 17.7 KiB | 2024-Oct-25 23:05 |
atool-bash-completion-0.39.0-r4.apk | 2.0 KiB | 2024-Oct-25 23:05 |
atool-doc-0.39.0-r4.apk | 9.6 KiB | 2024-Oct-25 23:05 |
aufs-util-20161219-r3.apk | 191.1 KiB | 2024-Oct-25 23:05 |
aufs-util-dev-20161219-r3.apk | 1.5 KiB | 2024-Oct-25 23:05 |
aufs-util-doc-20161219-r3.apk | 33.9 KiB | 2024-Oct-25 23:05 |
authenticator-rs-0.7.5-r0.apk | 2.0 MiB | 2024-Oct-25 23:05 |
authenticator-rs-lang-0.7.5-r0.apk | 3.7 KiB | 2024-Oct-25 23:05 |
autoconf-policy-0.1-r0.apk | 5.5 KiB | 2024-Oct-25 23:05 |
autoremove-torrents-1.5.5-r0.apk | 35.4 KiB | 2024-Oct-25 23:05 |
autoremove-torrents-doc-1.5.5-r0.apk | 11.6 KiB | 2024-Oct-25 23:05 |
autoremove-torrents-pyc-1.5.5-r0.apk | 53.8 KiB | 2024-Oct-25 23:05 |
autorestic-1.8.3-r6.apk | 3.7 MiB | 2025-Jul-10 05:26 |
autotrash-0.4.7-r0.apk | 22.8 KiB | 2024-Oct-25 23:05 |
autotrash-pyc-0.4.7-r0.apk | 14.1 KiB | 2024-Oct-25 23:05 |
avahi2dns-0.1.0-r2.apk | 2.4 MiB | 2025-Jul-10 05:26 |
avahi2dns-openrc-0.1.0-r2.apk | 1.8 KiB | 2025-Jul-10 05:26 |
avarice-2.14-r4.apk | 80.2 KiB | 2024-Oct-25 23:05 |
avarice-doc-2.14-r4.apk | 9.4 KiB | 2024-Oct-25 23:05 |
avra-1.4.2-r0.apk | 37.7 KiB | 2024-Oct-25 23:05 |
avra-dev-1.4.2-r0.apk | 254.7 KiB | 2024-Oct-25 23:05 |
azote-1.14.0-r0.apk | 7.6 MiB | 2024-Dec-14 23:38 |
azote-pyc-1.14.0-r0.apk | 98.0 KiB | 2024-Dec-14 23:38 |
azpainter-3.0.11-r0.apk | 701.8 KiB | 2025-Feb-22 14:09 |
azpainter-doc-3.0.11-r0.apk | 41.9 KiB | 2025-Feb-22 14:09 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 777.0 KiB | 2024-Oct-25 23:05 |
b2-tools-4.3.2-r0.apk | 72.5 KiB | 2025-May-03 11:44 |
b2-tools-pyc-4.3.2-r0.apk | 135.9 KiB | 2025-May-03 11:44 |
b2sum-20190729-r2.apk | 15.9 KiB | 2024-Oct-25 23:05 |
b2sum-doc-20190729-r2.apk | 2.7 KiB | 2024-Oct-25 23:05 |
backup-manager-0.7.15-r1.apk | 55.3 KiB | 2024-Oct-25 23:05 |
bacon-3.16.0-r0.apk | 1.8 MiB | 2025-Jun-23 16:34 |
baikal-0.10.1-r1.apk | 1.3 MiB | 2025-May-28 00:41 |
baikal-mysql-0.10.1-r1.apk | 1.3 KiB | 2025-May-28 00:41 |
baikal-pgsql-0.10.1-r1.apk | 1.3 KiB | 2025-May-28 00:41 |
baikal-sqlite-0.10.1-r1.apk | 1.4 KiB | 2025-May-28 00:41 |
bake-2.5.1-r0.apk | 109.4 KiB | 2024-Oct-25 23:05 |
bakelite-0.4.2-r0.apk | 38.5 KiB | 2024-Oct-25 23:05 |
bananui-2.0.0-r0.apk | 51.5 KiB | 2024-Oct-25 23:05 |
bananui-clock-0.1.0-r0.apk | 6.9 KiB | 2024-Oct-25 23:05 |
bananui-daemons-0.1.0-r0.apk | 38.7 KiB | 2024-Oct-25 23:05 |
bananui-dbg-2.0.0-r0.apk | 170.9 KiB | 2024-Oct-25 23:05 |
bananui-demos-2.0.0-r0.apk | 8.2 KiB | 2024-Oct-25 23:05 |
bananui-dev-2.0.0-r0.apk | 82.5 KiB | 2024-Oct-25 23:05 |
bananui-shell-0.2.0-r0.apk | 92.5 KiB | 2024-Oct-25 23:05 |
baresip-3.20.0-r1.apk | 1008.2 KiB | 2025-Jun-01 00:03 |
baresip-dev-3.20.0-r1.apk | 15.9 KiB | 2025-Jun-01 00:03 |
barman-3.14.1-r0.apk | 361.1 KiB | 2025-Jun-25 17:18 |
barman-bash-completion-3.14.1-r0.apk | 1.6 KiB | 2025-Jun-25 17:18 |
barman-doc-3.14.1-r0.apk | 84.7 KiB | 2025-Jun-25 17:18 |
barman-pyc-3.14.1-r0.apk | 571.8 KiB | 2025-Jun-25 17:18 |
barnyard2-2.1.14_git20160413-r1.apk | 122.2 KiB | 2024-Oct-25 23:05 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.8 KiB | 2024-Oct-25 23:05 |
barrier-2.4.0-r2.apk | 909.5 KiB | 2025-Feb-17 15:07 |
barrier-doc-2.4.0-r2.apk | 13.0 KiB | 2025-Feb-17 15:07 |
bartib-1.0.1-r1.apk | 359.8 KiB | 2024-Oct-25 23:05 |
base64c-0.2.1-r0.apk | 4.2 KiB | 2024-Oct-25 23:05 |
base64c-dev-0.2.1-r0.apk | 5.1 KiB | 2024-Oct-25 23:05 |
bash-pinyin-completion-rs-0.2.3-r0.apk | 657.4 KiB | 2025-Apr-30 20:49 |
bash-pinyin-completion-rs-doc-0.2.3-r0.apk | 13.6 KiB | 2025-Apr-30 20:49 |
batmon-0.0.1-r0.apk | 444.1 KiB | 2024-Oct-25 23:05 |
battery-limit-openrc-1-r0.apk | 1.8 KiB | 2025-Jun-17 13:18 |
bcg729-1.1.1-r0.apk | 35.4 KiB | 2024-Oct-25 23:05 |
bcg729-dev-1.1.1-r0.apk | 3.5 KiB | 2024-Oct-25 23:05 |
bchunk-1.2.2-r3.apk | 7.4 KiB | 2024-Oct-25 23:05 |
bchunk-doc-1.2.2-r3.apk | 3.0 KiB | 2024-Oct-25 23:05 |
bdfr-2.6.2-r1.apk | 130.9 KiB | 2024-Oct-25 23:05 |
beard-0.4-r0.apk | 3.1 KiB | 2024-Oct-25 23:05 |
beard-doc-0.4-r0.apk | 2.5 KiB | 2024-Oct-25 23:05 |
bees-0.10-r2.apk | 303.2 KiB | 2024-Oct-25 23:05 |
bees-openrc-0.10-r2.apk | 1.9 KiB | 2024-Oct-25 23:05 |
belcard-5.3.105-r0.apk | 12.4 KiB | 2025-Feb-25 15:48 |
belcard-dev-5.3.105-r0.apk | 11.5 KiB | 2025-Feb-25 15:48 |
belcard-libs-5.3.105-r0.apk | 193.3 KiB | 2025-Feb-25 15:48 |
belle-sip-5.3.105-r0.apk | 630.1 KiB | 2025-Feb-25 15:52 |
belle-sip-dev-5.3.105-r0.apk | 53.9 KiB | 2025-Feb-25 15:52 |
belr-5.3.105-r0.apk | 102.2 KiB | 2025-Feb-25 15:52 |
belr-dev-5.3.105-r0.apk | 14.5 KiB | 2025-Feb-25 15:52 |
berry-lang-1.1.0-r0.apk | 96.9 KiB | 2024-Oct-25 23:05 |
bestline-0.0_git20211108-r0.apk | 20.1 KiB | 2024-Oct-25 23:05 |
bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2024-Oct-25 23:05 |
bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2024-Oct-25 23:05 |
bettercap-2.41.0-r3.apk | 18.5 MiB | 2025-Jul-10 05:26 |
bettercap-doc-2.41.0-r3.apk | 13.6 KiB | 2025-Jul-10 05:26 |
bgpq4-1.15-r0.apk | 33.8 KiB | 2024-Oct-25 23:05 |
bgpq4-doc-1.15-r0.apk | 6.3 KiB | 2024-Oct-25 23:05 |
bgs-0.8-r1.apk | 5.8 KiB | 2024-Oct-25 23:05 |
bgs-doc-0.8-r1.apk | 2.3 KiB | 2024-Oct-25 23:05 |
biboumi-9.0-r8.apk | 270.3 KiB | 2025-May-29 00:37 |
biboumi-doc-9.0-r8.apk | 1.5 KiB | 2025-May-29 00:37 |
biboumi-openrc-9.0-r8.apk | 1.9 KiB | 2025-May-29 00:37 |
bindfs-1.17.7-r1.apk | 21.7 KiB | 2025-Jun-19 11:34 |
bindfs-doc-1.17.7-r1.apk | 9.1 KiB | 2025-Jun-19 11:34 |
biometryd-0.3.1-r7.apk | 282.0 KiB | 2025-Feb-17 15:07 |
biometryd-dev-0.3.1-r7.apk | 13.3 KiB | 2025-Feb-17 15:07 |
birdtray-1.9.0-r1.apk | 394.9 KiB | 2024-Oct-25 23:05 |
bitlbee-facebook-1.2.2-r0.apk | 53.7 KiB | 2024-Oct-25 23:05 |
bitlbee-mastodon-1.4.5-r0.apk | 43.3 KiB | 2024-Oct-25 23:05 |
bitritter-0.1.1-r0.apk | 2.1 MiB | 2024-Oct-25 23:05 |
bkt-0.8.0-r0.apk | 361.6 KiB | 2024-Oct-25 23:05 |
bkt-doc-0.8.0-r0.apk | 7.3 KiB | 2024-Oct-25 23:05 |
blackbox-1.20220610-r1.apk | 15.7 KiB | 2024-Oct-25 23:05 |
blip-0.10-r0.apk | 15.3 KiB | 2024-Oct-25 23:05 |
blip-doc-0.10-r0.apk | 29.6 KiB | 2024-Oct-25 23:05 |
bliss-0.77-r1.apk | 60.9 KiB | 2024-Oct-25 23:05 |
bliss-dev-0.77-r1.apk | 99.3 KiB | 2024-Oct-25 23:05 |
bluez-tools-0_git20201025-r0.apk | 232.7 KiB | 2025-Jun-09 11:39 |
bluez-tools-doc-0_git20201025-r0.apk | 15.0 KiB | 2025-Jun-09 11:39 |
bmk-0.1-r0.apk | 23.7 KiB | 2025-Jun-21 10:06 |
bmk-doc-0.1-r0.apk | 6.6 KiB | 2025-Jun-21 10:06 |
bochs-2.8-r1.apk | 937.1 KiB | 2025-Feb-20 21:48 |
bochs-doc-2.8-r1.apk | 139.0 KiB | 2025-Feb-20 21:48 |
boinc-7.24.3-r0.apk | 1.5 MiB | 2024-Oct-25 23:05 |
boinc-dev-7.24.3-r0.apk | 581.7 KiB | 2024-Oct-25 23:05 |
boinc-doc-7.24.3-r0.apk | 8.0 KiB | 2024-Oct-25 23:05 |
boinc-gui-7.24.3-r0.apk | 1004.6 KiB | 2024-Oct-25 23:05 |
boinc-lang-7.24.3-r0.apk | 876.9 KiB | 2024-Oct-25 23:05 |
boinc-libs-7.24.3-r0.apk | 199.7 KiB | 2024-Oct-25 23:05 |
boinc-screensaver-7.24.3-r0.apk | 119.2 KiB | 2024-Oct-25 23:05 |
bomctl-0.1.9-r7.apk | 8.9 MiB | 2025-Jul-10 05:26 |
bomctl-bash-completion-0.1.9-r7.apk | 5.1 KiB | 2025-Jul-10 05:26 |
bomctl-fish-completion-0.1.9-r7.apk | 4.3 KiB | 2025-Jul-10 05:26 |
bomctl-zsh-completion-0.1.9-r7.apk | 4.1 KiB | 2025-Jul-10 05:26 |
bonzomatic-20230615-r0.apk | 619.8 KiB | 2024-Oct-25 23:05 |
bootchart2-0.14.9-r0.apk | 136.4 KiB | 2025-Jan-03 13:13 |
bootinfo-0.1.0-r4.apk | 19.4 KiB | 2024-Oct-25 23:05 |
bootinfo-pyc-0.1.0-r4.apk | 8.2 KiB | 2024-Oct-25 23:05 |
bootloose-0.7.1-r12.apk | 2.1 MiB | 2025-Jul-10 05:26 |
bootterm-0.5-r0.apk | 17.8 KiB | 2024-Oct-25 23:05 |
bootterm-dbg-0.5-r0.apk | 2.3 KiB | 2024-Oct-25 23:05 |
bore-0.5.2-r0.apk | 571.6 KiB | 2024-Dec-15 22:18 |
boson-0_git20211219-r0.apk | 15.9 KiB | 2024-Oct-25 23:05 |
botan2-2.19.5-r0.apk | 388.0 KiB | 2025-May-29 00:37 |
botan2-dev-2.19.5-r0.apk | 310.8 KiB | 2025-May-29 00:37 |
botan2-doc-2.19.5-r0.apk | 306.2 KiB | 2025-May-29 00:37 |
botan2-libs-2.19.5-r0.apk | 2.5 MiB | 2025-May-29 00:37 |
boxes-2.3.1-r0.apk | 75.1 KiB | 2024-Oct-25 23:05 |
boxes-doc-2.3.1-r0.apk | 7.1 KiB | 2024-Oct-25 23:05 |
brial-1.2.11-r4.apk | 1.0 MiB | 2024-Oct-25 23:05 |
brial-dev-1.2.11-r4.apk | 1.7 MiB | 2024-Oct-25 23:05 |
brltty-6.7-r1.apk | 2.0 MiB | 2025-Mar-28 19:15 |
brltty-dev-6.7-r1.apk | 140.1 KiB | 2025-Mar-28 19:15 |
brltty-doc-6.7-r1.apk | 9.4 KiB | 2025-Mar-28 19:15 |
brltty-lang-6.7-r1.apk | 148.7 KiB | 2025-Mar-28 19:15 |
brltty-static-6.7-r1.apk | 23.5 KiB | 2025-Mar-28 19:15 |
btpd-0.16-r2.apk | 70.3 KiB | 2024-Oct-25 23:05 |
btpd-doc-0.16-r2.apk | 8.4 KiB | 2024-Oct-25 23:05 |
bump2version-1.0.1-r6.apk | 20.8 KiB | 2024-Oct-25 23:05 |
bump2version-pyc-1.0.1-r6.apk | 29.1 KiB | 2024-Oct-25 23:05 |
burp-3.1.4-r0.apk | 164.6 KiB | 2024-Oct-25 23:05 |
burp-doc-3.1.4-r0.apk | 99.4 KiB | 2024-Oct-25 23:05 |
burp-server-3.1.4-r0.apk | 36.1 KiB | 2024-Oct-25 23:05 |
butane-0.24.0-r1.apk | 3.1 MiB | 2025-Jul-10 05:26 |
bwrap-oci-0.2-r1.apk | 14.5 KiB | 2024-Oct-25 23:05 |
bwrap-oci-doc-0.2-r1.apk | 2.5 KiB | 2024-Oct-25 23:05 |
bzmenu-0.2.1-r1.apk | 1.1 MiB | 2025-Jul-24 04:27 |
caffeine-ng-4.2.0-r1.apk | 100.4 KiB | 2024-Oct-25 23:05 |
caffeine-ng-doc-4.2.0-r1.apk | 3.2 KiB | 2024-Oct-25 23:05 |
caffeine-ng-lang-4.2.0-r1.apk | 34.4 KiB | 2024-Oct-25 23:05 |
caja-gtkhash-plugin-1.5-r0.apk | 23.9 KiB | 2024-Oct-25 23:05 |
capnet-assist-8.0.0-r0.apk | 43.2 KiB | 2025-Apr-14 13:07 |
capnet-assist-lang-8.0.0-r0.apk | 37.2 KiB | 2025-Apr-14 13:07 |
caps2esc-0.3.2-r0.apk | 4.4 KiB | 2024-Oct-25 23:05 |
care-2.3.0-r1.apk | 88.4 KiB | 2024-Oct-25 23:05 |
care-doc-2.3.0-r1.apk | 7.9 KiB | 2024-Oct-25 23:05 |
cargo-crev-0.26.3-r0.apk | 6.2 MiB | 2025-Mar-02 19:15 |
cargo-geiger-0.12.0-r0.apk | 5.4 MiB | 2025-May-26 14:10 |
cargo-geiger-doc-0.12.0-r0.apk | 7.7 KiB | 2025-May-26 14:10 |
cargo-generate-0.23.3-r0.apk | 2.4 MiB | 2025-May-15 00:13 |
cargo-machete-0.8.0-r0.apk | 1.2 MiB | 2025-May-26 02:25 |
cargo-machete-doc-0.8.0-r0.apk | 4.0 KiB | 2025-May-26 02:25 |
cargo-run-bin-1.7.2-r0.apk | 445.7 KiB | 2024-Oct-25 23:05 |
cargo-run-bin-doc-1.7.2-r0.apk | 5.0 KiB | 2024-Oct-25 23:05 |
cargo-show-asm-0.2.51-r0.apk | 870.1 KiB | 2025-Jul-15 11:22 |
cargo-show-asm-doc-0.2.51-r0.apk | 10.0 KiB | 2025-Jul-15 11:22 |
cargo-shuttle-0.56.5-r0.apk | 5.0 MiB | 2025-Jul-24 20:24 |
cargo-shuttle-bash-completion-0.56.5-r0.apk | 5.2 KiB | 2025-Jul-24 20:24 |
cargo-shuttle-doc-0.56.5-r0.apk | 9.0 KiB | 2025-Jul-24 20:24 |
cargo-shuttle-fish-completion-0.56.5-r0.apk | 9.0 KiB | 2025-Jul-24 20:24 |
cargo-shuttle-zsh-completion-0.56.5-r0.apk | 7.9 KiB | 2025-Jul-24 20:24 |
cargo-udeps-0.1.57-r0.apk | 4.8 MiB | 2025-Jul-15 11:22 |
cargo-udeps-doc-0.1.57-r0.apk | 7.5 KiB | 2025-Jul-15 11:22 |
cargo-update-16.2.1-r0.apk | 1.1 MiB | 2025-Mar-23 16:24 |
cargo-update-doc-16.2.1-r0.apk | 8.3 KiB | 2025-Mar-23 16:24 |
cargo-vendor-filterer-0.5.18-r0.apk | 647.2 KiB | 2025-Jul-24 20:29 |
castero-0.9.5-r4.apk | 50.5 KiB | 2025-May-15 00:13 |
castero-pyc-0.9.5-r4.apk | 94.0 KiB | 2025-May-15 00:13 |
castor-0.9.0-r2.apk | 714.6 KiB | 2024-Oct-25 23:05 |
catcodec-1.0.5-r2.apk | 11.8 KiB | 2024-Oct-25 23:05 |
catcodec-doc-1.0.5-r2.apk | 4.9 KiB | 2024-Oct-25 23:05 |
catdoc-0.95-r1.apk | 109.7 KiB | 2024-Oct-25 23:05 |
catdoc-doc-0.95-r1.apk | 9.2 KiB | 2024-Oct-25 23:05 |
catfish-4.20.1-r0.apk | 127.8 KiB | 2025-Jul-07 22:22 |
catfish-doc-4.20.1-r0.apk | 13.3 KiB | 2025-Jul-07 22:22 |
catfish-lang-4.20.1-r0.apk | 166.7 KiB | 2025-Jul-07 22:22 |
catfish-pyc-4.20.1-r0.apk | 104.1 KiB | 2025-Jul-07 22:22 |
cava-0.10.4-r1.apk | 43.3 KiB | 2025-Feb-18 01:18 |
cc65-2.19-r0.apk | 8.8 MiB | 2024-Oct-25 23:05 |
ccrtp-2.1.2-r0.apk | 88.3 KiB | 2024-Oct-25 23:05 |
ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2024-Oct-25 23:05 |
ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2024-Oct-25 23:05 |
ccze-0.2.1-r1.apk | 71.7 KiB | 2024-Oct-25 23:05 |
ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2024-Oct-25 23:05 |
ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2024-Oct-25 23:05 |
cdba-1.0-r2.apk | 7.9 KiB | 2024-Oct-25 23:05 |
cdba-server-1.0-r2.apk | 20.2 KiB | 2024-Oct-25 23:05 |
cddlib-0.94m-r2.apk | 169.2 KiB | 2024-Oct-25 23:05 |
cddlib-dev-0.94m-r2.apk | 14.1 KiB | 2024-Oct-25 23:05 |
cddlib-doc-0.94m-r2.apk | 863.7 KiB | 2024-Oct-25 23:05 |
cddlib-static-0.94m-r2.apk | 234.6 KiB | 2024-Oct-25 23:05 |
cddlib-tools-0.94m-r2.apk | 34.7 KiB | 2024-Oct-25 23:05 |
cdist-7.0.0-r6.apk | 511.0 KiB | 2024-Oct-25 23:05 |
cdist-pyc-7.0.0-r6.apk | 127.6 KiB | 2024-Oct-25 23:05 |
cdogs-sdl-2.1.0-r0.apk | 33.4 MiB | 2024-Oct-25 23:05 |
cellbroadcastd-0.0.2-r0.apk | 51.5 KiB | 2025-Jun-27 14:06 |
cellbroadcastd-dev-0.0.2-r0.apk | 31.9 KiB | 2025-Jun-27 14:06 |
cellbroadcastd-libs-0.0.2-r0.apk | 22.8 KiB | 2025-Jun-27 14:06 |
certbot-dns-njalla-2.0.0-r0.apk | 9.3 KiB | 2024-Nov-28 02:06 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4.2 KiB | 2024-Nov-28 02:06 |
certbot-dns-pdns-0.1.1-r0.apk | 8.8 KiB | 2024-Oct-25 23:05 |
certbot-dns-pdns-pyc-0.1.1-r0.apk | 3.9 KiB | 2024-Oct-25 23:05 |
certigo-1.16.0-r24.apk | 3.7 MiB | 2025-Jul-10 05:26 |
certstrap-1.3.0-r25.apk | 2.3 MiB | 2025-Jul-10 05:26 |
cfssl-1.6.5-r6.apk | 28.6 MiB | 2025-Jul-10 05:27 |
cgiirc-0.5.12-r1.apk | 132.0 KiB | 2024-Oct-25 23:06 |
cgo-0.6.1-r1.apk | 10.2 KiB | 2024-Oct-25 23:06 |
cgo-doc-0.6.1-r1.apk | 4.1 KiB | 2024-Oct-25 23:06 |
chamo-4.0-r0.apk | 6.3 MiB | 2024-Oct-25 23:06 |
chamo-byte-4.0-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
chamo-dev-4.0-r0.apk | 4.1 MiB | 2024-Oct-25 23:06 |
charls-2.4.2-r0.apk | 61.1 KiB | 2024-Oct-25 23:06 |
charls-dev-2.4.2-r0.apk | 26.6 KiB | 2024-Oct-25 23:06 |
chasquid-1.15.0-r5.apk | 10.8 MiB | 2025-Jul-10 05:27 |
chasquid-doc-1.15.0-r5.apk | 15.3 KiB | 2025-Jul-10 05:27 |
chasquid-openrc-1.15.0-r5.apk | 1.9 KiB | 2025-Jul-10 05:27 |
chawan-0.2.2-r0.apk | 3.6 MiB | 2025-Jul-24 04:27 |
chawan-doc-0.2.2-r0.apk | 50.8 KiB | 2025-Jul-24 04:27 |
checkpolicy-3.6-r0.apk | 368.7 KiB | 2024-Oct-25 23:06 |
checkpolicy-doc-3.6-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
cherrytree-1.4.0-r0.apk | 2.6 MiB | 2025-Mar-26 20:40 |
cherrytree-doc-1.4.0-r0.apk | 2.1 KiB | 2025-Mar-26 20:40 |
cherrytree-lang-1.4.0-r0.apk | 858.6 KiB | 2025-Mar-26 20:40 |
chim-1.1.2-r1.apk | 1.6 MiB | 2024-Oct-25 23:06 |
chim-doc-1.1.2-r1.apk | 2.8 KiB | 2024-Oct-25 23:06 |
chimerautils-14.2.1-r0.apk | 1.2 MiB | 2025-May-26 02:19 |
chimerautils-dbg-14.2.1-r0.apk | 3.1 MiB | 2025-May-26 02:19 |
chocolate-doom-3.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 23:06 |
chocolate-doom-doc-3.1.0-r0.apk | 231.3 KiB | 2024-Oct-25 23:06 |
cilium-cli-0.16.13-r6.apk | 53.8 MiB | 2025-Jul-10 05:27 |
cilium-cli-bash-completion-0.16.13-r6.apk | 5.1 KiB | 2025-Jul-10 05:27 |
cilium-cli-fish-completion-0.16.13-r6.apk | 4.3 KiB | 2025-Jul-10 05:27 |
cilium-cli-zsh-completion-0.16.13-r6.apk | 4.0 KiB | 2025-Jul-10 05:27 |
cimg-3.4.1-r0.apk | 826.0 KiB | 2024-Oct-25 23:06 |
circuslinux-1.0.3-r1.apk | 19.6 KiB | 2024-Oct-25 23:06 |
circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2024-Oct-25 23:06 |
circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2024-Oct-25 23:06 |
ckb-next-0.6.2-r0.apk | 1.3 MiB | 2025-Mar-19 14:44 |
ckb-next-daemon-0.6.2-r0.apk | 77.6 KiB | 2025-Mar-19 14:44 |
ckb-next-daemon-openrc-0.6.2-r0.apk | 1.8 KiB | 2025-Mar-19 14:44 |
ckb-next-dev-0.6.2-r0.apk | 4.9 KiB | 2025-Mar-19 14:44 |
clang21-21.1.0_rc1-r1.apk | 597.5 KiB | 2025-Jul-24 04:27 |
clang21-ccache-21.1.0_rc1-r1.apk | 1.6 KiB | 2025-Jul-24 04:27 |
clang21-dev-21.1.0_rc1-r1.apk | 4.0 MiB | 2025-Jul-24 04:27 |
clang21-extra-tools-21.1.0_rc1-r1.apk | 33.9 MiB | 2025-Jul-24 04:27 |
clang21-headers-21.1.0_rc1-r1.apk | 1004.1 KiB | 2025-Jul-24 04:27 |
clang21-libclang-21.1.0_rc1-r1.apk | 19.2 MiB | 2025-Jul-24 04:27 |
clang21-libs-21.1.0_rc1-r1.apk | 32.0 MiB | 2025-Jul-24 04:27 |
clang21-static-21.1.0_rc1-r1.apk | 75.0 MiB | 2025-Jul-24 04:27 |
clatd-1.6-r0.apk | 12.6 KiB | 2024-Oct-25 23:06 |
clementine-1.4.1_git20250503-r0.apk | 5.9 MiB | 2025-Jun-12 15:08 |
clevis-21-r0.apk | 50.9 KiB | 2025-Jan-25 10:03 |
clevis-bash-completion-21-r0.apk | 2.0 KiB | 2025-Jan-25 10:03 |
clevis-dbg-21-r0.apk | 62.0 KiB | 2025-Jan-25 10:03 |
clevis-doc-21-r0.apk | 23.2 KiB | 2025-Jan-25 10:03 |
clevis-extra-pins-0_git20230629-r0.apk | 4.6 KiB | 2024-Oct-25 23:06 |
click-0.5.2-r4.apk | 155.2 KiB | 2025-Feb-17 15:07 |
click-dev-0.5.2-r4.apk | 9.1 KiB | 2025-Feb-17 15:07 |
click-doc-0.5.2-r4.apk | 3.3 KiB | 2025-Feb-17 15:07 |
click-pyc-0.5.2-r4.apk | 174.8 KiB | 2025-Feb-17 15:07 |
clinfo-3.0.23.01.25-r0.apk | 44.9 KiB | 2024-Oct-25 23:06 |
clinfo-doc-3.0.23.01.25-r0.apk | 6.5 KiB | 2024-Oct-25 23:06 |
cliphist-0.6.1-r6.apk | 916.2 KiB | 2025-Jul-10 05:27 |
cliphist-fzf-0.6.1-r6.apk | 1.8 KiB | 2025-Jul-10 05:27 |
clipit-1.4.5-r3.apk | 65.0 KiB | 2024-Oct-25 23:06 |
clipit-doc-1.4.5-r3.apk | 2.4 KiB | 2024-Oct-25 23:06 |
cliquer-1.22-r2.apk | 7.2 KiB | 2024-Oct-25 23:06 |
cliquer-dev-1.22-r2.apk | 7.4 KiB | 2024-Oct-25 23:06 |
cliquer-libs-1.22-r2.apk | 22.9 KiB | 2024-Oct-25 23:06 |
cliquer-static-1.22-r2.apk | 25.2 KiB | 2024-Oct-25 23:06 |
cliquer-tests-1.22-r2.apk | 24.0 KiB | 2024-Oct-25 23:06 |
cln-1.3.7-r1.apk | 444.6 KiB | 2025-May-25 10:49 |
cln-dev-1.3.7-r1.apk | 1.2 MiB | 2025-May-25 10:49 |
cln-doc-1.3.7-r1.apk | 77.3 KiB | 2025-May-25 10:49 |
cloudflared-2024.12.1-r7.apk | 8.9 MiB | 2025-Jul-10 05:27 |
cloudflared-doc-2024.12.1-r7.apk | 1.9 KiB | 2025-Jul-10 05:27 |
cloudflared-openrc-2024.12.1-r7.apk | 1.8 KiB | 2025-Jul-10 05:27 |
cloudfoundry-cli-8.7.9-r10.apk | 8.7 MiB | 2025-Jul-10 05:27 |
cluster-glue-1.0.12-r5.apk | 257.3 KiB | 2024-Oct-25 23:06 |
cluster-glue-dev-1.0.12-r5.apk | 1.0 MiB | 2024-Oct-25 23:06 |
cluster-glue-doc-1.0.12-r5.apk | 32.9 KiB | 2024-Oct-25 23:06 |
cluster-glue-libs-1.0.12-r5.apk | 113.9 KiB | 2024-Oct-25 23:06 |
cmusfm-0.5.0-r0.apk | 13.8 KiB | 2024-Oct-25 23:06 |
coccinelle-1.1.1-r2.apk | 6.8 MiB | 2024-Oct-25 23:06 |
coccinelle-bash-completion-1.1.1-r2.apk | 2.9 KiB | 2024-Oct-25 23:06 |
coccinelle-doc-1.1.1-r2.apk | 16.0 KiB | 2024-Oct-25 23:06 |
cocogitto-6.3.0-r0.apk | 1.9 MiB | 2025-Mar-21 22:28 |
cocogitto-bash-completion-6.3.0-r0.apk | 3.0 KiB | 2025-Mar-21 22:28 |
cocogitto-doc-6.3.0-r0.apk | 37.8 KiB | 2025-Mar-21 22:28 |
cocogitto-fish-completion-6.3.0-r0.apk | 3.3 KiB | 2025-Mar-21 22:28 |
cocogitto-zsh-completion-6.3.0-r0.apk | 3.0 KiB | 2025-Mar-21 22:28 |
code-minimap-0.6.7-r0.apk | 365.9 KiB | 2024-Dec-12 22:36 |
code-minimap-doc-0.6.7-r0.apk | 8.0 KiB | 2024-Dec-12 22:36 |
codec2-1.2.0-r0.apk | 666.1 KiB | 2025-May-26 01:14 |
codec2-dev-1.2.0-r0.apk | 15.4 KiB | 2025-May-26 01:14 |
colormake-0.9.20170221-r0.apk | 4.0 KiB | 2024-Oct-25 23:06 |
colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2024-Oct-25 23:06 |
colorpicker-0_git20201128-r1.apk | 4.2 KiB | 2024-Oct-25 23:06 |
comics-downloader-0.33.8-r11.apk | 3.7 MiB | 2025-Jul-10 05:27 |
comics-downloader-gui-0.33.8-r11.apk | 5.4 MiB | 2025-Jul-10 05:27 |
commit-lsp-0.1.0-r0.apk | 2.1 MiB | 2025-May-15 00:13 |
commoncpp-7.0.1-r1.apk | 243.9 KiB | 2024-Oct-25 23:06 |
commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2024-Oct-25 23:06 |
commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2024-Oct-25 23:06 |
commoncpp-tools-7.0.1-r1.apk | 38.1 KiB | 2024-Oct-25 23:06 |
compiz-0.9.14.2-r11.apk | 5.5 MiB | 2025-Jun-12 15:08 |
compiz-dev-0.9.14.2-r11.apk | 117.2 KiB | 2025-Jun-12 15:08 |
compiz-lang-0.9.14.2-r11.apk | 1.2 MiB | 2025-Jun-12 15:08 |
compiz-pyc-0.9.14.2-r11.apk | 111.5 KiB | 2025-Jun-12 15:08 |
compiz-utils-0.9.14.2-r11.apk | 3.3 KiB | 2025-Jun-12 15:08 |
conntracct-0.2.7-r32.apk | 4.9 MiB | 2025-Jul-10 05:27 |
conntracct-openrc-0.2.7-r32.apk | 1.9 KiB | 2025-Jul-10 05:27 |
console_bridge-1.0.2-r0.apk | 9.2 KiB | 2024-Oct-25 23:06 |
console_bridge-dev-1.0.2-r0.apk | 4.7 KiB | 2024-Oct-25 23:06 |
consul-replicate-0.4.0-r32.apk | 2.8 MiB | 2025-Jul-10 05:27 |
contractor-0.3.5-r0.apk | 24.2 KiB | 2024-Nov-13 00:54 |
convert2json-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-bson-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-bson-jaq-2.2.2-r0.apk | 264.5 KiB | 2025-May-26 03:38 |
convert2json-bson-json-2.2.2-r0.apk | 256.6 KiB | 2025-May-26 03:38 |
convert2json-cbor-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-cbor-jaq-2.2.2-r0.apk | 233.0 KiB | 2025-May-26 03:38 |
convert2json-cbor-json-2.2.2-r0.apk | 223.6 KiB | 2025-May-26 03:38 |
convert2json-csv-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-csv-jaq-2.2.2-r0.apk | 251.3 KiB | 2025-May-26 03:38 |
convert2json-csv-json-2.2.2-r0.apk | 242.5 KiB | 2025-May-26 03:38 |
convert2json-doc-2.2.2-r0.apk | 13.2 KiB | 2025-May-26 03:38 |
convert2json-ini-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-ini-jaq-2.2.2-r0.apk | 211.7 KiB | 2025-May-26 03:38 |
convert2json-ini-json-2.2.2-r0.apk | 203.3 KiB | 2025-May-26 03:38 |
convert2json-jaq-2.2.2-r0.apk | 1.4 KiB | 2025-May-26 03:38 |
convert2json-json-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-messagepack-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-messagepack-jaq-2.2.2-r0.apk | 229.0 KiB | 2025-May-26 03:38 |
convert2json-messagepack-json-2.2.2-r0.apk | 219.9 KiB | 2025-May-26 03:38 |
convert2json-plist-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-plist-jaq-2.2.2-r0.apk | 271.4 KiB | 2025-May-26 03:38 |
convert2json-plist-json-2.2.2-r0.apk | 263.0 KiB | 2025-May-26 03:38 |
convert2json-rsv-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-rsv-jaq-2.2.2-r0.apk | 190.2 KiB | 2025-May-26 03:38 |
convert2json-rsv-json-2.2.2-r0.apk | 180.0 KiB | 2025-May-26 03:38 |
convert2json-toml-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-toml-jaq-2.2.2-r0.apk | 278.2 KiB | 2025-May-26 03:38 |
convert2json-toml-json-2.2.2-r0.apk | 269.3 KiB | 2025-May-26 03:38 |
convert2json-xml-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-xml-jaq-2.2.2-r0.apk | 224.5 KiB | 2025-May-26 03:38 |
convert2json-xml-json-2.2.2-r0.apk | 215.4 KiB | 2025-May-26 03:38 |
convert2json-yaml-2.2.2-r0.apk | 1.3 KiB | 2025-May-26 03:38 |
convert2json-yaml-jaq-2.2.2-r0.apk | 298.7 KiB | 2025-May-26 03:38 |
convert2json-yaml-json-2.2.2-r0.apk | 290.6 KiB | 2025-May-26 03:38 |
corosync-3.1.9-r0.apk | 261.0 KiB | 2025-May-29 06:16 |
corosync-dev-3.1.9-r0.apk | 426.7 KiB | 2025-May-29 06:16 |
corosync-doc-3.1.9-r0.apk | 190.3 KiB | 2025-May-29 06:16 |
corosync-openrc-3.1.9-r0.apk | 1.8 KiB | 2025-May-29 06:16 |
cortex-tenant-1.15.2-r7.apk | 4.1 MiB | 2025-Jul-10 05:27 |
cortex-tenant-openrc-1.15.2-r7.apk | 2.0 KiB | 2025-Jul-10 05:27 |
cosmic-icons-1.0.0_alpha7-r0.apk | 231.5 KiB | 2025-Apr-29 22:46 |
cowsay-3.04-r2.apk | 18.4 KiB | 2024-Oct-25 23:06 |
cowsay-doc-3.04-r2.apk | 4.0 KiB | 2024-Oct-25 23:06 |
coxeter-3.0-r1.apk | 48.5 KiB | 2024-Oct-25 23:06 |
coxeter-dev-3.0-r1.apk | 56.7 KiB | 2024-Oct-25 23:06 |
coxeter-libs-3.0-r1.apk | 286.2 KiB | 2024-Oct-25 23:06 |
cpdf-2.8.1-r0.apk | 2.1 MiB | 2025-May-15 00:13 |
cpdf-doc-2.8.1-r0.apk | 558.0 KiB | 2025-May-15 00:13 |
cpiped-0.1.0-r0.apk | 6.8 KiB | 2024-Oct-25 23:06 |
cpp-httplib-0.23.1-r0.apk | 80.7 KiB | 2025-Jul-24 04:27 |
cpp-httplib-doc-0.23.1-r0.apk | 12.8 KiB | 2025-Jul-24 04:27 |
cpplint-2.0.2-r0.apk | 80.3 KiB | 2025-Apr-14 02:28 |
cpplint-pyc-2.0.2-r0.apk | 99.5 KiB | 2025-Apr-14 02:28 |
cproto-4.7x-r0.apk | 34.1 KiB | 2025-Jul-24 04:27 |
cproto-doc-4.7x-r0.apk | 5.2 KiB | 2025-Jul-24 04:27 |
cpuburn-1.4a_git20160316-r2.apk | 10.8 KiB | 2024-Oct-25 23:06 |
cpufetch-1.06-r0.apk | 44.3 KiB | 2024-Oct-25 23:06 |
cpufetch-doc-1.06-r0.apk | 3.1 KiB | 2024-Oct-25 23:06 |
crazydiskinfo-1.1.0-r1.apk | 29.6 KiB | 2024-Oct-25 23:06 |
createrepo_c-1.1.4-r0.apk | 46.8 KiB | 2024-Oct-25 23:06 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2.9 KiB | 2024-Oct-25 23:06 |
createrepo_c-dev-1.1.4-r0.apk | 31.5 KiB | 2024-Oct-25 23:06 |
createrepo_c-doc-1.1.4-r0.apk | 8.7 KiB | 2024-Oct-25 23:06 |
createrepo_c-libs-1.1.4-r0.apk | 90.6 KiB | 2024-Oct-25 23:06 |
crispy-doom-7.0-r0.apk | 1.8 MiB | 2024-Oct-25 23:06 |
crispy-doom-doc-7.0-r0.apk | 106.8 KiB | 2024-Oct-25 23:06 |
crossplane-0.5.8-r3.apk | 30.2 KiB | 2024-Oct-25 23:06 |
crossplane-pyc-0.5.8-r3.apk | 39.2 KiB | 2024-Oct-25 23:06 |
crowdsec-1.6.11-r0.apk | 34.5 MiB | 2025-Jul-24 04:27 |
crowdsec-email-plugin-1.6.11-r0.apk | 5.8 MiB | 2025-Jul-24 04:27 |
crowdsec-http-plugin-1.6.11-r0.apk | 5.8 MiB | 2025-Jul-24 04:27 |
crowdsec-openrc-1.6.11-r0.apk | 1.8 KiB | 2025-Jul-24 04:27 |
crowdsec-sentinel-plugin-1.6.11-r0.apk | 5.8 MiB | 2025-Jul-24 04:27 |
crowdsec-slack-plugin-1.6.11-r0.apk | 5.8 MiB | 2025-Jul-24 04:27 |
crowdsec-splunk-plugin-1.6.11-r0.apk | 5.8 MiB | 2025-Jul-24 04:27 |
crun-vm-0.3.0-r0.apk | 1.1 MiB | 2024-Nov-12 14:34 |
crun-vm-doc-0.3.0-r0.apk | 12.9 KiB | 2024-Nov-12 14:34 |
cscope-15.9-r1.apk | 152.6 KiB | 2024-Oct-25 23:06 |
cscope-doc-15.9-r1.apk | 7.5 KiB | 2024-Oct-25 23:06 |
csfml-2.5.2-r0.apk | 88.8 KiB | 2024-Oct-25 23:06 |
csfml-dev-2.5.2-r0.apk | 77.2 KiB | 2024-Oct-25 23:06 |
csfml-doc-2.5.2-r0.apk | 204.0 KiB | 2024-Oct-25 23:06 |
csmith-2.3.0-r2.apk | 300.7 KiB | 2024-Oct-25 23:06 |
csmith-doc-2.3.0-r2.apk | 3.1 KiB | 2024-Oct-25 23:06 |
csol-1.6.0-r0.apk | 35.6 KiB | 2024-Oct-25 23:06 |
csol-doc-1.6.0-r0.apk | 3.8 KiB | 2024-Oct-25 23:06 |
ctorrent-dnh-3.3.2-r2.apk | 89.4 KiB | 2024-Oct-25 23:06 |
cups-pdf-3.0.2-r0.apk | 21.6 KiB | 2025-Jul-05 00:11 |
curlftpfs-0.9.2-r3.apk | 25.6 KiB | 2024-Oct-25 23:06 |
curlftpfs-doc-0.9.2-r3.apk | 6.1 KiB | 2024-Oct-25 23:06 |
curtail-1.13.0-r0.apk | 30.4 KiB | 2025-Jul-05 23:39 |
curtail-lang-1.13.0-r0.apk | 77.5 KiB | 2025-Jul-05 23:39 |
cutechess-1.3.1-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
cutechess-cli-1.3.1-r0.apk | 328.8 KiB | 2024-Oct-25 23:06 |
cutechess-cli-doc-1.3.1-r0.apk | 6.6 KiB | 2024-Oct-25 23:06 |
cutechess-doc-1.3.1-r0.apk | 3.5 KiB | 2024-Oct-25 23:06 |
cvise-2.11.0-r0.apk | 4.6 MiB | 2025-Mar-08 16:13 |
cvise-pyc-2.11.0-r0.apk | 59.7 KiB | 2025-Mar-08 16:13 |
cvs-fast-export-1.65-r0.apk | 47.6 KiB | 2024-Oct-25 23:06 |
cvs-fast-export-doc-1.65-r0.apk | 17.4 KiB | 2024-Oct-25 23:06 |
cvs-fast-export-tools-1.65-r0.apk | 8.6 KiB | 2024-Oct-25 23:06 |
cyrus-sasl-xoauth2-0.2-r1.apk | 6.3 KiB | 2024-Oct-25 23:06 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.3 KiB | 2024-Oct-25 23:06 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 6.7 KiB | 2024-Oct-25 23:06 |
cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2024-Oct-25 23:06 |
daemontools-0.76-r3.apk | 50.8 KiB | 2024-Oct-25 23:06 |
daemontools-openrc-0.76-r3.apk | 2.0 KiB | 2024-Oct-25 23:06 |
daktilo-0.6.0-r0.apk | 1.8 MiB | 2024-Oct-25 23:06 |
daktilo-bash-completion-0.6.0-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
daktilo-doc-0.6.0-r0.apk | 8.7 KiB | 2024-Oct-25 23:06 |
daktilo-fish-completion-0.6.0-r0.apk | 1.9 KiB | 2024-Oct-25 23:06 |
daktilo-zsh-completion-0.6.0-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
darts-clone-0.32h-r0.apk | 37.4 KiB | 2025-Jul-06 10:09 |
darts-clone-dev-0.32h-r0.apk | 13.2 KiB | 2025-Jul-06 10:09 |
dasht-2.4.0-r0.apk | 14.2 KiB | 2024-Oct-25 23:06 |
dasht-doc-2.4.0-r0.apk | 11.4 KiB | 2024-Oct-25 23:06 |
dasht-zsh-completion-2.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 23:06 |
davmail-6.3.0-r0.apk | 9.4 MiB | 2025-Apr-17 20:50 |
dbmate-2.26.0-r3.apk | 10.4 MiB | 2025-Jul-10 05:27 |
dbmate-doc-2.26.0-r3.apk | 2.3 KiB | 2025-Jul-10 05:27 |
dbus-broker-37-r0.apk | 84.6 KiB | 2025-Jun-17 13:17 |
dbus-broker-doc-37-r0.apk | 5.9 KiB | 2025-Jun-17 13:17 |
dcmtk-3.6.9-r0.apk | 1.2 MiB | 2025-Apr-08 01:06 |
dcmtk-dev-3.6.9-r0.apk | 1.6 MiB | 2025-Apr-08 01:06 |
dcmtk-doc-3.6.9-r0.apk | 257.4 KiB | 2025-Apr-08 01:06 |
dcmtk-openrc-3.6.9-r0.apk | 1.7 KiB | 2025-Apr-08 01:06 |
dcnnt-0.10.0-r1.apk | 27.8 KiB | 2024-Oct-25 23:06 |
dcnnt-doc-0.10.0-r1.apk | 6.6 KiB | 2024-Oct-25 23:06 |
dcnnt-pyc-0.10.0-r1.apk | 61.7 KiB | 2024-Oct-25 23:06 |
ddcci-driver-linux-src-0.4.5-r2.apk | 19.1 KiB | 2025-Mar-19 14:44 |
ddgr-2.2-r0.apk | 20.1 KiB | 2024-Oct-25 23:06 |
ddgr-bash-completion-2.2-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
ddgr-doc-2.2-r0.apk | 11.5 KiB | 2024-Oct-25 23:06 |
ddgr-fish-completion-2.2-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
ddgr-zsh-completion-2.2-r0.apk | 2.7 KiB | 2024-Oct-25 23:06 |
ddserver-0_git20200930-r1.apk | 11.2 KiB | 2024-Oct-25 23:06 |
deadbeef-soxr-20180801-r0.apk | 5.3 KiB | 2024-Oct-25 23:06 |
debconf-1.5.82-r0.apk | 69.4 KiB | 2024-Oct-25 23:06 |
debconf-bash-completion-1.5.82-r0.apk | 1.9 KiB | 2024-Oct-25 23:06 |
debconf-doc-1.5.82-r0.apk | 26.8 KiB | 2024-Oct-25 23:06 |
debconf-lang-1.5.82-r0.apk | 132.4 KiB | 2024-Oct-25 23:06 |
debconf-utils-1.5.82-r0.apk | 6.7 KiB | 2024-Oct-25 23:06 |
decoder-0.7.0-r0.apk | 2.0 MiB | 2025-Apr-10 16:22 |
decoder-lang-0.7.0-r0.apk | 59.0 KiB | 2025-Apr-10 16:22 |
dehydrated-0.7.1-r0.apk | 26.4 KiB | 2024-Oct-25 23:06 |
desed-1.2.1-r1.apk | 400.4 KiB | 2024-Oct-25 23:06 |
desed-doc-1.2.1-r1.apk | 2.9 KiB | 2024-Oct-25 23:06 |
desync-0.9.6-r6.apk | 7.4 MiB | 2025-Jul-10 05:27 |
detox-2.0.0-r0.apk | 111.3 KiB | 2024-Oct-25 23:06 |
detox-doc-2.0.0-r0.apk | 20.7 KiB | 2024-Oct-25 23:06 |
deviced-0_git20250427-r0.apk | 115.0 KiB | 2025-Jul-05 23:03 |
deviced-dev-0_git20250427-r0.apk | 26.1 KiB | 2025-Jul-05 23:03 |
deviced-openrc-0_git20250427-r0.apk | 1.7 KiB | 2025-Jul-05 23:03 |
devil-1.8.0-r0.apk | 228.2 KiB | 2024-Oct-25 23:06 |
devil-dev-1.8.0-r0.apk | 12.9 KiB | 2024-Oct-25 23:06 |
dewduct-0.2.3-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
dfl-applications-0.2.0-r0.apk | 20.1 KiB | 2024-Oct-25 23:06 |
dfl-applications-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
dfl-ipc-0.2.0-r0.apk | 19.0 KiB | 2024-Oct-25 23:06 |
dfl-ipc-dev-0.2.0-r0.apk | 3.6 KiB | 2024-Oct-25 23:06 |
dfl-login1-0.2.0-r0.apk | 16.0 KiB | 2024-Oct-25 23:06 |
dfl-login1-dev-0.2.0-r0.apk | 3.4 KiB | 2024-Oct-25 23:06 |
dfl-sni-0.2.0-r0.apk | 29.1 KiB | 2024-Oct-25 23:06 |
dfl-sni-dev-0.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
dfu-programmer-1.1.0-r0.apk | 37.1 KiB | 2024-Oct-25 23:06 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2.8 KiB | 2024-Oct-25 23:06 |
dfu-programmer-doc-1.1.0-r0.apk | 5.7 KiB | 2024-Oct-25 23:06 |
dhewm3-1.5.4-r0.apk | 5.0 MiB | 2025-Feb-17 15:07 |
diceware-1.0.1-r0.apk | 334.0 KiB | 2025-Jan-14 01:49 |
diceware-pyc-1.0.1-r0.apk | 18.1 KiB | 2025-Jan-14 01:49 |
disfetch-3.7-r0.apk | 8.3 KiB | 2024-Oct-25 23:06 |
diskus-0.8.0-r0.apk | 357.6 KiB | 2025-May-19 01:15 |
dislocker-0.7.3-r6.apk | 11.8 KiB | 2025-Jul-24 04:27 |
dislocker-doc-0.7.3-r6.apk | 6.0 KiB | 2025-Jul-24 04:27 |
dislocker-libs-0.7.3-r6.apk | 44.7 KiB | 2025-Jul-24 04:27 |
dive-0.13.0-r3.apk | 3.8 MiB | 2025-Jul-10 05:27 |
dlib-19.24.4-r0.apk | 755.2 KiB | 2024-Oct-25 23:06 |
dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-Oct-25 23:06 |
dmarc-cat-0.15.0-r6.apk | 2.7 MiB | 2025-Jul-10 05:27 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25.3 KiB | 2024-Nov-30 01:04 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1.9 KiB | 2024-Nov-30 01:04 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 46.5 KiB | 2024-Nov-30 01:04 |
dmenu-wl-0.1-r0.apk | 16.2 KiB | 2025-Jul-02 13:32 |
dmenu-wl-doc-0.1-r0.apk | 4.1 KiB | 2025-Jul-02 13:32 |
dnscontrol-4.22.0-r0.apk | 14.1 MiB | 2025-Jul-15 23:15 |
dnscontrol-doc-4.22.0-r0.apk | 2.3 KiB | 2025-Jul-15 23:15 |
dnscrypt-wrapper-0.4.2-r3.apk | 29.2 KiB | 2024-Oct-25 23:06 |
dnsenum-1.3.2-r0.apk | 21.2 KiB | 2024-Oct-25 23:06 |
dnsenum-doc-1.3.2-r0.apk | 5.2 KiB | 2024-Oct-25 23:06 |
dnsperf-2.14.0-r0.apk | 79.0 KiB | 2024-Oct-25 23:06 |
dnsperf-doc-2.14.0-r0.apk | 34.9 KiB | 2024-Oct-25 23:06 |
dnssec-tools-2.2.3-r13.apk | 743.5 KiB | 2025-Jun-30 10:14 |
dnssec-tools-dev-2.2.3-r13.apk | 180.9 KiB | 2025-Jun-30 10:14 |
dnssec-tools-doc-2.2.3-r13.apk | 317.0 KiB | 2025-Jun-30 10:14 |
doasedit-1.0.7-r0.apk | 3.6 KiB | 2024-Oct-25 23:06 |
docker-auth-1.13.0-r6.apk | 9.8 MiB | 2025-Jul-15 11:22 |
docker-auth-doc-1.13.0-r6.apk | 10.4 KiB | 2025-Jul-15 11:22 |
docker-auth-openrc-1.13.0-r6.apk | 2.1 KiB | 2025-Jul-15 11:22 |
docker-volume-local-persist-1.3.0-r34.apk | 2.5 MiB | 2025-Jul-10 05:27 |
docker-volume-local-persist-openrc-1.3.0-r34.apk | 1.8 KiB | 2025-Jul-10 05:27 |
dockerize-0.9.3-r2.apk | 3.3 MiB | 2025-Jul-10 05:27 |
dooit-3.2.2-r0.apk | 44.8 KiB | 2025-May-16 10:14 |
dooit-extras-0.2.0-r0.apk | 12.7 KiB | 2024-Dec-07 23:22 |
dooit-extras-pyc-0.2.0-r0.apk | 22.8 KiB | 2024-Dec-07 23:22 |
dooit-pyc-3.2.2-r0.apk | 100.3 KiB | 2025-May-16 10:14 |
dotenv-linter-3.3.0-r1.apk | 821.1 KiB | 2024-Oct-25 23:06 |
downloader-cli-0.3.4-r2.apk | 2.0 KiB | 2025-May-15 00:13 |
draco-1.5.7-r2.apk | 779.9 KiB | 2025-Feb-17 15:07 |
draco-dev-1.5.7-r2.apk | 204.7 KiB | 2025-Feb-17 15:07 |
draco-static-1.5.7-r2.apk | 1.6 MiB | 2025-Feb-17 15:07 |
draco-tools-1.5.7-r2.apk | 1.2 MiB | 2025-Feb-17 15:07 |
draw-0.1.1-r14.apk | 958.5 KiB | 2025-Jul-10 05:27 |
drogon-1.9.4-r2.apk | 1.4 MiB | 2025-May-22 09:53 |
drogon-dev-1.9.4-r2.apk | 121.3 KiB | 2025-May-22 09:53 |
drogon-doc-1.9.4-r2.apk | 2.3 KiB | 2025-May-22 09:53 |
droidcam-2.1.3-r1.apk | 18.1 KiB | 2024-Nov-09 00:25 |
droidcam-gui-2.1.3-r1.apk | 27.3 KiB | 2024-Nov-09 00:25 |
drone-cli-1.8.0-r11.apk | 5.5 MiB | 2025-Jul-10 05:27 |
dropwatch-1.5.5-r0.apk | 15.2 KiB | 2025-Jun-25 16:38 |
dropwatch-doc-1.5.5-r0.apk | 3.7 KiB | 2025-Jun-25 16:38 |
drumgizmo-0.9.20-r1.apk | 379.2 KiB | 2024-Oct-25 23:06 |
drupal7-7.103-r0.apk | 3.3 MiB | 2024-Dec-04 20:28 |
drupal7-doc-7.103-r0.apk | 57.5 KiB | 2024-Dec-04 20:28 |
dsp-2.0-r0.apk | 153.5 KiB | 2025-May-15 00:13 |
dsp-doc-2.0-r0.apk | 10.1 KiB | 2025-May-15 00:13 |
dstask-0.26-r15.apk | 1.4 MiB | 2025-Jul-10 05:27 |
dstask-bash-completion-0.26-r15.apk | 2.1 KiB | 2025-Jul-10 05:27 |
dstask-import-0.26-r15.apk | 3.4 MiB | 2025-Jul-10 05:27 |
dstask-zsh-completion-0.26-r15.apk | 1.7 KiB | 2025-Jul-10 05:27 |
dublin-traceroute-0.4.2-r4.apk | 44.7 KiB | 2024-Oct-25 23:06 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2.9 KiB | 2024-Oct-25 23:06 |
dublin-traceroute-dev-0.4.2-r4.apk | 6.9 KiB | 2024-Oct-25 23:06 |
dublin-traceroute-doc-0.4.2-r4.apk | 2.3 KiB | 2024-Oct-25 23:06 |
duc-1.4.5-r0.apk | 86.3 KiB | 2024-Oct-25 23:06 |
duc-doc-1.4.5-r0.apk | 9.1 KiB | 2024-Oct-25 23:06 |
duf-0.8.1-r27.apk | 1.0 MiB | 2025-Jul-10 05:27 |
dufs-0.43.0-r0.apk | 1.4 MiB | 2025-Jan-25 10:03 |
dufs-bash-completion-0.43.0-r0.apk | 2.3 KiB | 2025-Jan-25 10:03 |
dufs-doc-0.43.0-r0.apk | 10.5 KiB | 2025-Jan-25 10:03 |
dufs-fish-completion-0.43.0-r0.apk | 2.4 KiB | 2025-Jan-25 10:03 |
dufs-zsh-completion-0.43.0-r0.apk | 2.7 KiB | 2025-Jan-25 10:03 |
dulcepan-1.0.2-r0.apk | 19.8 KiB | 2024-Oct-25 23:06 |
dum-0.1.20-r1.apk | 356.7 KiB | 2025-Mar-29 17:14 |
dune-deps-1.3.0-r2.apk | 795.8 KiB | 2024-Oct-25 23:06 |
dustracing2d-2.1.1-r1.apk | 5.1 MiB | 2024-Oct-25 23:06 |
dvdbackup-0.4.2-r1.apk | 17.1 KiB | 2024-Oct-25 23:06 |
dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2024-Oct-25 23:06 |
dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2024-Oct-25 23:06 |
dvisvgm-3.4.4-r0.apk | 1.1 MiB | 2025-May-19 01:44 |
dvisvgm-doc-3.4.4-r0.apk | 26.1 KiB | 2025-May-19 01:44 |
dwl-0.7-r0.apk | 25.7 KiB | 2024-Oct-25 23:06 |
dwl-doc-0.7-r0.apk | 3.1 KiB | 2024-Oct-25 23:06 |
e16-1.0.30-r0.apk | 773.2 KiB | 2024-Nov-05 16:22 |
e16-doc-1.0.30-r0.apk | 27.2 KiB | 2024-Nov-05 16:22 |
e16-lang-1.0.30-r0.apk | 379.6 KiB | 2024-Nov-05 16:22 |
eatmemory-0.1.6-r2.apk | 4.2 KiB | 2024-Oct-25 23:06 |
eboard-1.1.3-r1.apk | 1.4 MiB | 2024-Oct-25 23:06 |
eboard-doc-1.1.3-r1.apk | 4.6 KiB | 2024-Oct-25 23:06 |
ecasound-2.9.3-r4.apk | 652.8 KiB | 2025-Feb-25 13:17 |
ecasound-dev-2.9.3-r4.apk | 1.2 MiB | 2025-Feb-25 13:17 |
ecasound-doc-2.9.3-r4.apk | 38.5 KiB | 2025-Feb-25 13:17 |
ecos-2.0.10-r0.apk | 37.9 KiB | 2024-Oct-25 23:06 |
ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2024-Oct-25 23:06 |
edit-1.2.0-r0.apk | 261.1 KiB | 2025-Jun-12 15:29 |
edit-doc-1.2.0-r0.apk | 2.2 KiB | 2025-Jun-12 15:29 |
edward-1.1.0-r0.apk | 1.9 MiB | 2024-Oct-25 23:06 |
edward-doc-1.1.0-r0.apk | 5.3 KiB | 2024-Oct-25 23:06 |
efl-1.28.1-r2.apk | 33.9 MiB | 2025-Mar-23 18:03 |
efl-dev-1.28.1-r2.apk | 1.8 MiB | 2025-Mar-23 18:03 |
efl-gdb-1.28.1-r2.apk | 1.7 KiB | 2025-Mar-23 18:03 |
eiwd-3.9-r0.apk | 780.6 KiB | 2025-Jul-24 04:27 |
eiwd-doc-3.9-r0.apk | 20.4 KiB | 2025-Jul-24 04:27 |
eiwd-openrc-3.9-r0.apk | 1.9 KiB | 2025-Jul-24 04:27 |
elementary-calculator-8.0.0-r0.apk | 68.7 KiB | 2024-Oct-29 01:05 |
elementary-calculator-lang-8.0.0-r0.apk | 57.3 KiB | 2024-Oct-29 01:05 |
elementary-camera-8.0.1-r0.apk | 83.8 KiB | 2025-May-15 00:13 |
elementary-camera-lang-8.0.1-r0.apk | 34.1 KiB | 2025-May-15 00:13 |
elementary-dock-8.0.2-r0.apk | 83.6 KiB | 2025-May-25 01:57 |
elementary-dock-lang-8.0.2-r0.apk | 27.6 KiB | 2025-May-25 01:57 |
elementary-feedback-8.0.1-r0.apk | 44.3 KiB | 2025-May-15 00:13 |
elementary-feedback-lang-8.0.1-r0.apk | 46.5 KiB | 2025-May-15 00:13 |
elementary-icon-theme-8.1.0-r0.apk | 5.0 MiB | 2025-May-15 00:13 |
elementary-music-8.0.0-r0.apk | 71.4 KiB | 2024-Oct-29 01:05 |
elementary-music-lang-8.0.0-r0.apk | 47.5 KiB | 2024-Oct-29 01:05 |
elementary-photos-8.0.1-r0.apk | 1.1 MiB | 2024-Dec-03 01:59 |
elementary-photos-lang-8.0.1-r0.apk | 1.0 MiB | 2024-Dec-03 01:59 |
elementary-settings-daemon-8.3.0-r0.apk | 77.1 KiB | 2025-Jun-15 07:01 |
elementary-settings-daemon-lang-8.3.0-r0.apk | 74.0 KiB | 2025-Jun-15 07:01 |
elementary-settings-daemon-openrc-8.3.0-r0.apk | 1.8 KiB | 2025-Jun-15 07:01 |
elementary-sound-theme-1.1.0-r0.apk | 83.1 KiB | 2024-Nov-11 01:07 |
elementary-theme-8.1.0-r0.apk | 1.5 MiB | 2025-Jan-12 23:36 |
elementary-videos-8.0.1-r0.apk | 106.4 KiB | 2024-Nov-11 01:06 |
elementary-videos-lang-8.0.1-r0.apk | 85.5 KiB | 2024-Nov-11 01:06 |
elf_diff-0.7.1-r3.apk | 108.3 KiB | 2024-Oct-25 23:06 |
elf_diff-pyc-0.7.1-r3.apk | 108.0 KiB | 2024-Oct-25 23:06 |
elfio-3.12-r0.apk | 1.4 KiB | 2024-Oct-25 23:06 |
elfio-dev-3.12-r0.apk | 55.0 KiB | 2024-Oct-25 23:06 |
eludris-0.3.3-r1.apk | 1.7 MiB | 2024-Oct-25 23:06 |
eludris-doc-0.3.3-r1.apk | 2.3 KiB | 2024-Oct-25 23:06 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 22.8 KiB | 2024-Oct-25 23:06 |
emacs-avy-0.5.0_git20230420-r0.apk | 43.4 KiB | 2024-Oct-25 23:06 |
emacs-avy-embark-collect-1.1-r0.apk | 3.8 KiB | 2025-Apr-23 08:42 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 55.1 KiB | 2024-Oct-25 23:06 |
emacs-closql-1.2.1_git20240712-r0.apk | 14.4 KiB | 2024-Oct-25 23:06 |
emacs-consult-1.4_git20240405-r0.apk | 137.8 KiB | 2024-Oct-25 23:06 |
emacs-derl-0_git20231004-r0.apk | 23.5 KiB | 2024-Oct-25 23:06 |
emacs-elfeed-3.4.2-r0.apk | 90.6 KiB | 2025-Apr-23 08:13 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 22.6 KiB | 2024-Oct-25 23:06 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6.1 KiB | 2024-Oct-25 23:06 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5.9 KiB | 2024-Oct-25 23:06 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17.2 KiB | 2024-Oct-25 23:06 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0..> | 4.3 KiB | 2024-Oct-25 23:06 |
emacs-embark-1.1-r0.apk | 110.9 KiB | 2025-Apr-23 08:42 |
emacs-embark-consult-1.1-r0.apk | 10.4 KiB | 2025-Apr-23 08:42 |
emacs-ement-0.16-r0.apk | 290.7 KiB | 2025-Apr-23 08:13 |
emacs-epkg-3.3.3_git20240713-r0.apk | 36.8 KiB | 2024-Oct-25 23:06 |
emacs-fossil-0_git20230504-r0.apk | 14.6 KiB | 2024-Oct-25 23:06 |
emacs-gnosis-0.3.2-r0.apk | 62.1 KiB | 2024-Oct-25 23:06 |
emacs-hackernews-0.7.0-r0.apk | 15.5 KiB | 2024-Oct-25 23:06 |
emacs-helm-3.9.7_git20240329-r0.apk | 815.1 KiB | 2024-Oct-25 23:06 |
emacs-hnreader-0_git20221116-r0.apk | 9.8 KiB | 2024-Oct-25 23:06 |
emacs-hydra-0.15.0_git20220910-r0.apk | 45.9 KiB | 2024-Oct-25 23:06 |
emacs-llama-0.3.1_git20240722-r0.apk | 9.9 KiB | 2024-Oct-25 23:06 |
emacs-lsp-booster-0.2.1-r0.apk | 424.6 KiB | 2025-Apr-12 15:21 |
emacs-lsp-booster-doc-0.2.1-r0.apk | 2.3 KiB | 2025-Apr-12 15:21 |
emacs-persist-0.6_git20240114-r0.apk | 6.6 KiB | 2024-Oct-25 23:06 |
emacs-powerline-2.4_git20221110-r0.apk | 28.9 KiB | 2024-Oct-25 23:06 |
emacs-sqlite3-api-0.18-r0.apk | 18.3 KiB | 2024-Oct-25 23:06 |
emacs-svg-lib-0_git20240219-r0.apk | 19.0 KiB | 2024-Oct-25 23:06 |
emacs-taxy-0.10.2-r0.apk | 11.5 KiB | 2025-Apr-23 08:42 |
emacs-taxy-magit-section-0.14.3-r0.apk | 17.7 KiB | 2025-Apr-23 08:42 |
emacs-total-recall-0_git20250426-r0.apk | 17.6 KiB | 2025-Apr-30 11:17 |
emacs-total-recall-examples-0_git20250426-r0.apk | 13.9 KiB | 2025-Apr-30 11:17 |
empede-0.2.3-r0.apk | 1.7 MiB | 2024-Oct-25 23:06 |
empede-doc-0.2.3-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
empede-openrc-0.2.3-r0.apk | 1.9 KiB | 2024-Oct-25 23:06 |
emulationstation-2.11.2-r1.apk | 1.2 MiB | 2025-Mar-11 20:54 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3.2 MiB | 2025-Mar-11 20:54 |
endeavour-43.0-r2.apk | 177.6 KiB | 2024-Dec-09 00:40 |
endeavour-dev-43.0-r2.apk | 45.6 KiB | 2024-Dec-09 00:40 |
endeavour-doc-43.0-r2.apk | 68.1 KiB | 2024-Dec-09 00:40 |
endeavour-lang-43.0-r2.apk | 203.1 KiB | 2024-Dec-09 00:40 |
endless-sky-0.10.2-r0.apk | 242.2 MiB | 2024-Oct-25 23:06 |
endless-sky-doc-0.10.2-r0.apk | 36.5 KiB | 2024-Oct-25 23:06 |
endlessh-1.1-r1.apk | 9.4 KiB | 2025-May-25 10:49 |
endlessh-doc-1.1-r1.apk | 2.7 KiB | 2025-May-25 10:49 |
enjoy-0.3-r1.apk | 10.5 KiB | 2024-Oct-25 23:06 |
enlighten-0.9.2-r1.apk | 7.3 KiB | 2024-Oct-25 23:06 |
enlighten-doc-0.9.2-r1.apk | 3.5 KiB | 2024-Oct-25 23:06 |
envconsul-0.13.3-r4.apk | 4.7 MiB | 2025-Jul-10 05:27 |
envsubst-0.1-r1.apk | 4.2 KiB | 2024-Oct-25 23:06 |
epic6-0_git20250630-r0.apk | 377.9 KiB | 2025-Jul-24 04:27 |
epic6-doc-0_git20250630-r0.apk | 17.2 KiB | 2025-Jul-24 04:27 |
epic6-script-0_git20250630-r0.apk | 148.9 KiB | 2025-Jul-24 04:27 |
epoch-1.3.0-r2.apk | 60.6 KiB | 2024-Oct-25 23:06 |
epr-2.4.15-r1.apk | 15.7 KiB | 2024-Oct-25 23:06 |
epr-pyc-2.4.15-r1.apk | 24.4 KiB | 2024-Oct-25 23:06 |
ergo-ldap-0.0.1-r18.apk | 2.2 MiB | 2025-Jul-10 05:27 |
ergo-ldap-doc-0.0.1-r18.apk | 2.3 KiB | 2025-Jul-10 05:27 |
errands-46.2.8-r0.apk | 84.1 KiB | 2025-May-22 17:31 |
errands-lang-46.2.8-r0.apk | 71.2 KiB | 2025-May-22 17:31 |
espeakup-0.90-r2.apk | 10.9 KiB | 2024-Oct-25 23:06 |
espeakup-openrc-0.90-r2.apk | 1.8 KiB | 2024-Oct-25 23:06 |
esptool-4.8.1-r0.apk | 424.0 KiB | 2024-Oct-25 23:06 |
esptool-pyc-4.8.1-r0.apk | 549.3 KiB | 2024-Oct-25 23:06 |
ettercap-0.8.3.1-r3.apk | 560.3 KiB | 2024-Oct-25 23:06 |
ettercap-doc-0.8.3.1-r3.apk | 45.1 KiB | 2024-Oct-25 23:06 |
eva-0.3.1-r2.apk | 622.5 KiB | 2024-Oct-25 23:06 |
evolution-on-3.24.4-r0.apk | 10.2 KiB | 2024-Oct-30 17:24 |
exabgp-4.2.24-r0.apk | 384.6 KiB | 2025-Mar-16 01:11 |
exabgp-doc-4.2.24-r0.apk | 8.0 KiB | 2025-Mar-16 01:11 |
exabgp-openrc-4.2.24-r0.apk | 2.2 KiB | 2025-Mar-16 01:11 |
exabgp-pyc-4.2.24-r0.apk | 778.2 KiB | 2025-Mar-16 01:11 |
exercism-3.2.0-r14.apk | 4.0 MiB | 2025-Jul-10 05:27 |
exercism-bash-completion-3.2.0-r14.apk | 2.0 KiB | 2025-Jul-10 05:27 |
exercism-fish-completion-3.2.0-r14.apk | 2.4 KiB | 2025-Jul-10 05:27 |
exercism-zsh-completion-3.2.0-r14.apk | 2.1 KiB | 2025-Jul-10 05:27 |
extrace-0.9-r0.apk | 10.3 KiB | 2024-Oct-25 23:06 |
extrace-doc-0.9-r0.apk | 3.5 KiB | 2024-Oct-25 23:06 |
extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2024-Oct-25 23:06 |
extremetuxracer-doc-0.8.3-r0.apk | 6.7 KiB | 2024-Oct-25 23:06 |
extundelete-0.2.4-r1.apk | 41.6 KiB | 2024-Oct-25 23:06 |
f_scripts-0.6-r1.apk | 1.4 KiB | 2024-Oct-25 23:06 |
f_scripts-f_audio-0.6-r1.apk | 3.3 KiB | 2024-Oct-25 23:06 |
f_scripts-f_files-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 23:06 |
f_scripts-f_game-0.6-r1.apk | 1.9 KiB | 2024-Oct-25 23:06 |
f_scripts-f_maps-0.6-r1.apk | 2.1 KiB | 2024-Oct-25 23:06 |
f_scripts-f_networks-0.6-r1.apk | 3.0 KiB | 2024-Oct-25 23:06 |
f_scripts-f_phone-0.6-r1.apk | 6.0 KiB | 2024-Oct-25 23:06 |
f_scripts-f_rss-0.6-r1.apk | 2.5 KiB | 2024-Oct-25 23:06 |
f_scripts-f_theme-0.6-r1.apk | 2.6 KiB | 2024-Oct-25 23:06 |
f_scripts-f_timer-0.6-r1.apk | 2.4 KiB | 2024-Oct-25 23:06 |
f_scripts-f_web-0.6-r1.apk | 2.9 KiB | 2024-Oct-25 23:06 |
f_scripts-f_youtube-0.6-r1.apk | 2.8 KiB | 2024-Oct-25 23:06 |
fabric-3.2.2-r1.apk | 54.6 KiB | 2024-Oct-25 23:06 |
fabric-pyc-3.2.2-r1.apk | 60.1 KiB | 2024-Oct-25 23:06 |
fakeroot-tcp-1.32.1-r1.apk | 29.6 KiB | 2024-Oct-25 23:06 |
fastd-23-r0.apk | 70.7 KiB | 2025-Jan-28 00:33 |
fastd-doc-23-r0.apk | 3.3 KiB | 2025-Jan-28 00:33 |
fastd-openrc-23-r0.apk | 1.7 KiB | 2025-Jan-28 00:33 |
fatback-1.3-r2.apk | 27.1 KiB | 2024-Oct-25 23:06 |
fatback-doc-1.3-r2.apk | 16.1 KiB | 2024-Oct-25 23:06 |
fathom-1.3.1-r14.apk | 4.7 MiB | 2025-Jul-10 05:27 |
fatrace-0.17.0-r0.apk | 10.4 KiB | 2024-Oct-25 23:06 |
fatrace-doc-0.17.0-r0.apk | 3.3 KiB | 2024-Oct-25 23:06 |
fatresize-1.1.0-r1.apk | 8.9 KiB | 2024-Oct-25 23:06 |
fatresize-doc-1.1.0-r1.apk | 15.2 KiB | 2024-Oct-25 23:06 |
faultstat-0.01.11-r0.apk | 13.2 KiB | 2024-Oct-25 23:06 |
faultstat-bash-completion-0.01.11-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
faultstat-doc-0.01.11-r0.apk | 3.0 KiB | 2024-Oct-25 23:06 |
faust-2.79.3-r0.apk | 7.7 MiB | 2025-Jun-07 20:02 |
faust-dev-2.79.3-r0.apk | 1.4 MiB | 2025-Jun-07 20:02 |
faust-doc-2.79.3-r0.apk | 16.7 MiB | 2025-Jun-07 20:02 |
faust-static-2.79.3-r0.apk | 540.2 KiB | 2025-Jun-07 20:02 |
faust-tools-2.79.3-r0.apk | 120.7 KiB | 2025-Jun-07 20:02 |
faust-vim-2.79.3-r0.apk | 2.6 KiB | 2025-Jun-07 20:02 |
fava-1.28-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
fava-pyc-1.28-r0.apk | 164.1 KiB | 2024-Oct-25 23:06 |
fbcur-1.0.1-r1.apk | 4.3 KiB | 2024-Oct-25 23:06 |
fbcur-doc-1.0.1-r1.apk | 2.2 KiB | 2024-Oct-25 23:06 |
fceux-2.6.6-r2.apk | 2.9 MiB | 2024-Oct-25 23:06 |
fceux-doc-2.6.6-r2.apk | 105.8 KiB | 2024-Oct-25 23:06 |
fdm-materials-5.2.2-r1.apk | 59.7 KiB | 2024-Oct-25 23:06 |
featherpad-1.5.1-r0.apk | 682.0 KiB | 2024-Oct-25 23:06 |
featherpad-lang-1.5.1-r0.apk | 462.8 KiB | 2024-Oct-25 23:06 |
felix-2.16.1-r0.apk | 678.8 KiB | 2025-May-16 11:31 |
femto-2.21.7-r0.apk | 56.7 KiB | 2025-Jun-19 09:37 |
femto-doc-2.21.7-r0.apk | 27.4 KiB | 2025-Jun-19 09:37 |
fff-2.2-r0.apk | 10.7 KiB | 2024-Oct-25 23:06 |
fff-doc-2.2-r0.apk | 9.0 KiB | 2024-Oct-25 23:06 |
fflas-ffpack-2.5.0-r3.apk | 345.3 KiB | 2024-Oct-25 23:06 |
ffms2-5.0-r0.apk | 71.6 KiB | 2024-Oct-25 23:06 |
ffms2-dev-5.0-r0.apk | 7.6 KiB | 2024-Oct-25 23:06 |
ffms2-doc-5.0-r0.apk | 30.3 KiB | 2024-Oct-25 23:06 |
ffsend-0.2.76-r4.apk | 1.5 MiB | 2024-Oct-25 23:06 |
ffsend-bash-completion-0.2.76-r4.apk | 3.6 KiB | 2024-Oct-25 23:06 |
ffsend-fish-completion-0.2.76-r4.apk | 3.5 KiB | 2024-Oct-25 23:06 |
ffsend-zsh-completion-0.2.76-r4.apk | 4.5 KiB | 2024-Oct-25 23:06 |
fheroes2-1.1.10-r0.apk | 1.7 MiB | 2025-Jul-24 04:27 |
fheroes2-lang-1.1.10-r0.apk | 1.7 MiB | 2025-Jul-24 04:27 |
fildesh-0.2.0-r0.apk | 64.5 KiB | 2024-Oct-25 23:06 |
fildesh-doc-0.2.0-r0.apk | 2.1 KiB | 2024-Oct-25 23:06 |
fildesh-vim-0.2.0-r0.apk | 3.5 KiB | 2024-Oct-25 23:06 |
filebrowser-2.27.0-r12.apk | 7.3 MiB | 2025-Jul-10 05:27 |
filebrowser-openrc-2.27.0-r12.apk | 1.8 KiB | 2025-Jul-10 05:27 |
fileshelter-6.2.0-r3.apk | 302.3 KiB | 2025-Feb-25 13:17 |
fileshelter-openrc-6.2.0-r3.apk | 1.6 KiB | 2025-Feb-25 13:17 |
filite-0.3.0-r2.apk | 1.1 MiB | 2024-Oct-25 23:06 |
findtow-0.1-r0.apk | 4.5 KiB | 2024-Oct-25 23:06 |
finger-0.5-r0.apk | 6.4 KiB | 2024-Oct-25 23:06 |
finger-doc-0.5-r0.apk | 3.8 KiB | 2024-Oct-25 23:06 |
firehol-3.1.7-r2.apk | 84.8 KiB | 2024-Oct-25 23:06 |
firehol-doc-3.1.7-r2.apk | 674.6 KiB | 2024-Oct-25 23:06 |
firehol-openrc-3.1.7-r2.apk | 2.1 KiB | 2024-Oct-25 23:06 |
flamelens-0.3.1-r0.apk | 1.2 MiB | 2025-Apr-29 22:46 |
flamelens-doc-0.3.1-r0.apk | 3.7 KiB | 2025-Apr-29 22:46 |
flann-1.9.2-r1.apk | 1.5 MiB | 2025-Feb-17 15:07 |
flann-dev-1.9.2-r1.apk | 1.3 MiB | 2025-Feb-17 15:07 |
flann-doc-1.9.2-r1.apk | 2.5 KiB | 2025-Feb-17 15:07 |
flare-engine-1.14-r0.apk | 4.4 MiB | 2024-Oct-25 23:06 |
flare-engine-doc-1.14-r0.apk | 2.5 KiB | 2024-Oct-25 23:06 |
flare-game-1.14-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
flatpak-xdg-utils-1.0.6-r0.apk | 19.0 KiB | 2024-Oct-25 23:06 |
flauschige-uhr-0.1-r1.apk | 4.3 KiB | 2024-Oct-25 23:06 |
flawz-0.3.0-r0.apk | 1.2 MiB | 2024-Nov-04 00:06 |
flawz-bash-completion-0.3.0-r0.apk | 2.1 KiB | 2024-Nov-04 00:06 |
flawz-doc-0.3.0-r0.apk | 6.0 KiB | 2024-Nov-04 00:06 |
flawz-fish-completion-0.3.0-r0.apk | 1.9 KiB | 2024-Nov-04 00:06 |
flawz-zsh-completion-0.3.0-r0.apk | 2.2 KiB | 2024-Nov-04 00:06 |
flint-3.3.1-r0.apk | 3.8 MiB | 2025-Jun-17 07:28 |
flint-dev-3.3.1-r0.apk | 311.2 KiB | 2025-Jun-17 07:28 |
flintqs-1.0-r1.apk | 22.4 KiB | 2024-Oct-25 23:06 |
flowd-0.9.1-r11.apk | 83.6 KiB | 2025-Jun-30 10:14 |
flowd-dev-0.9.1-r11.apk | 8.1 KiB | 2025-Jun-30 10:14 |
flowd-doc-0.9.1-r11.apk | 10.1 KiB | 2025-Jun-30 10:14 |
flowd-openrc-0.9.1-r11.apk | 1.9 KiB | 2025-Jun-30 10:14 |
fnf-0.1-r0.apk | 15.0 KiB | 2024-Oct-25 23:06 |
fnf-doc-0.1-r0.apk | 4.6 KiB | 2024-Oct-25 23:06 |
foma-0.10.0_git20240712-r0.apk | 306.5 KiB | 2024-Oct-25 23:06 |
foma-dev-0.10.0_git20240712-r0.apk | 8.5 KiB | 2024-Oct-25 23:06 |
font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2024-Oct-25 23:06 |
font-aref-ruqaa-1.006-r0.apk | 357.3 KiB | 2025-Apr-12 15:25 |
font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-Oct-25 23:06 |
font-cascadia-2407.24-r1.apk | 1.3 KiB | 2025-May-27 17:36 |
font-cascadia-code-2407.24-r1.apk | 526.2 KiB | 2025-May-27 17:36 |
font-cascadia-mono-2407.24-r1.apk | 506.8 KiB | 2025-May-27 17:36 |
font-chivo-0_git20221110-r0.apk | 792.4 KiB | 2024-Oct-25 23:06 |
font-chivo-mono-0_git20221110-r0.apk | 625.9 KiB | 2024-Oct-25 23:06 |
font-comic-neue-2.51-r0.apk | 248.8 KiB | 2024-Oct-25 23:06 |
font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2024-Oct-25 23:06 |
font-commit-mono-1.143-r0.apk | 251.3 KiB | 2024-Oct-25 23:06 |
font-cousine-0_git20210228-r0.apk | 110.0 KiB | 2024-Oct-25 23:06 |
font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2024-Oct-25 23:06 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2024-Oct-25 23:06 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 23:06 |
font-fantasque-sans-largelineheightnoloopk-1.8.0..> | 315.8 KiB | 2024-Oct-25 23:06 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 23:06 |
font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2024-Oct-25 23:06 |
font-fira-code-6.2-r0.apk | 836.0 KiB | 2024-Oct-25 23:06 |
font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2024-Oct-25 23:06 |
font-firamath-0.3.4-r0.apk | 118.3 KiB | 2024-Oct-25 23:06 |
font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2024-Oct-25 23:06 |
font-hanazono-20170904-r1.apk | 28.9 MiB | 2024-Oct-25 23:06 |
font-intel-one-mono-1.3.0-r0.apk | 281.4 KiB | 2024-Oct-25 23:06 |
font-katex-0.16.2-r0.apk | 851.6 KiB | 2024-Oct-25 23:06 |
font-material-icons-4.0.0-r0.apk | 651.9 KiB | 2024-Oct-25 23:06 |
font-monaspace-1.101-r0.apk | 1.5 KiB | 2024-Oct-25 23:06 |
font-monaspace-argon-1.101-r0.apk | 2.2 MiB | 2024-Oct-25 23:06 |
font-monaspace-krypton-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 23:06 |
font-monaspace-neon-1.101-r0.apk | 2.1 MiB | 2024-Oct-25 23:06 |
font-monaspace-radon-1.101-r0.apk | 2.7 MiB | 2024-Oct-25 23:06 |
font-monaspace-xenon-1.101-r0.apk | 2.3 MiB | 2024-Oct-25 23:06 |
font-monocraft-4.0-r0.apk | 676.8 KiB | 2024-Oct-25 23:06 |
font-openmoji-15.1.0-r0.apk | 3.6 MiB | 2025-May-27 11:43 |
font-siji-20190218_git-r2.apk | 24.5 KiB | 2024-Oct-25 23:06 |
font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-Oct-25 23:06 |
font-stix-ttf-2.13-r0.apk | 430.0 KiB | 2024-Oct-25 23:06 |
font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2024-Oct-25 23:06 |
font-terminus-ttf-4.49.3-r0.apk | 537.9 KiB | 2025-Jul-15 11:22 |
font-tinos-0_git20210228-r0.apk | 198.7 KiB | 2024-Oct-25 23:06 |
font-tiresias-0_git20200704-r0.apk | 568.3 KiB | 2024-Oct-25 23:06 |
font-tiresias-doc-0_git20200704-r0.apk | 58.2 KiB | 2024-Oct-25 23:06 |
foolsm-1.0.21-r0.apk | 33.0 KiB | 2024-Oct-25 23:06 |
foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2024-Oct-25 23:06 |
foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2024-Oct-25 23:06 |
fpc-3.2.2-r4.apk | 68.5 MiB | 2024-Oct-25 23:06 |
fpc-doc-3.2.2-r4.apk | 1.2 MiB | 2024-Oct-25 23:06 |
fpc-stage0-3.2.2-r3.apk | 6.2 MiB | 2024-Oct-25 23:06 |
fplll-5.5.0-r0.apk | 46.5 KiB | 2024-Nov-20 01:34 |
fplll-dev-5.5.0-r0.apk | 78.0 KiB | 2024-Nov-20 01:34 |
fplll-libs-5.5.0-r0.apk | 6.1 MiB | 2024-Nov-20 01:34 |
fplll-static-5.5.0-r0.apk | 7.8 MiB | 2024-Nov-20 01:34 |
fplll-strategies-5.5.0-r0.apk | 1.7 MiB | 2024-Nov-20 01:34 |
fpp-0.9.5-r0.apk | 29.3 KiB | 2024-Oct-25 23:06 |
fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2024-Oct-25 23:06 |
fq-0.13.0-r6.apk | 4.4 MiB | 2025-Jul-10 05:27 |
freealut-1.1.0-r1.apk | 16.7 KiB | 2024-Oct-25 23:06 |
freealut-dev-1.1.0-r1.apk | 21.8 KiB | 2024-Oct-25 23:06 |
freediameter-1.5.0-r1.apk | 9.6 KiB | 2024-Oct-25 23:06 |
freediameter-dev-1.5.0-r1.apk | 53.8 KiB | 2024-Oct-25 23:06 |
freediameter-extensions-1.5.0-r1.apk | 450.0 KiB | 2024-Oct-25 23:06 |
freediameter-libfdcore-1.5.0-r1.apk | 195.3 KiB | 2024-Oct-25 23:06 |
freediameter-libfdproto-1.5.0-r1.apk | 104.4 KiB | 2024-Oct-25 23:06 |
frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-Oct-25 23:06 |
frescobaldi-doc-3.3.0-r1.apk | 2.5 KiB | 2024-Oct-25 23:06 |
frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-Oct-25 23:06 |
freshrss-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 23:06 |
freshrss-doc-1.23.1-r1.apk | 751.2 KiB | 2024-Oct-25 23:06 |
freshrss-lang-1.23.1-r1.apk | 379.2 KiB | 2024-Oct-25 23:06 |
freshrss-mysql-1.23.1-r1.apk | 1.4 KiB | 2024-Oct-25 23:06 |
freshrss-openrc-1.23.1-r1.apk | 2.5 KiB | 2024-Oct-25 23:06 |
freshrss-pgsql-1.23.1-r1.apk | 1.4 KiB | 2024-Oct-25 23:06 |
freshrss-sqlite-1.23.1-r1.apk | 1.4 KiB | 2024-Oct-25 23:06 |
freshrss-themes-1.23.1-r1.apk | 1.5 MiB | 2024-Oct-25 23:06 |
fulcrum-1.9.8-r1.apk | 854.5 KiB | 2024-Oct-25 23:06 |
fulcrum-admin-1.9.8-r1.apk | 7.9 KiB | 2024-Oct-25 23:06 |
fulcrum-doc-1.9.8-r1.apk | 21.6 KiB | 2024-Oct-25 23:06 |
fungw-1.2.1-r0.apk | 12.1 KiB | 2024-Dec-30 12:48 |
fungw-c-1.2.1-r0.apk | 7.6 KiB | 2024-Dec-30 12:48 |
fungw-cli-1.2.1-r0.apk | 21.6 KiB | 2024-Dec-30 12:48 |
fungw-dev-1.2.1-r0.apk | 7.6 KiB | 2024-Dec-30 12:48 |
fungw-doc-1.2.1-r0.apk | 12.9 KiB | 2024-Dec-30 12:48 |
fungw-duktape-1.2.1-r0.apk | 15.1 KiB | 2024-Dec-30 12:48 |
fungw-fawk-1.2.1-r0.apk | 103.9 KiB | 2024-Dec-30 12:48 |
fungw-lua-1.2.1-r0.apk | 12.9 KiB | 2024-Dec-30 12:48 |
fungw-mujs-1.2.1-r0.apk | 14.4 KiB | 2024-Dec-30 12:48 |
fungw-perl-1.2.1-r0.apk | 46.2 KiB | 2024-Dec-30 12:48 |
fungw-python3-1.2.1-r0.apk | 24.7 KiB | 2024-Dec-30 12:48 |
fungw-tcl-1.2.1-r0.apk | 12.1 KiB | 2024-Dec-30 12:48 |
fusee-nano-0.5.3-r1.apk | 20.5 KiB | 2024-Oct-25 23:06 |
fusee-nano-udev-0.5.3-r1.apk | 1.7 KiB | 2024-Oct-25 23:06 |
fuseiso-20070708-r0.apk | 16.0 KiB | 2025-Apr-14 04:12 |
fuseiso-doc-20070708-r0.apk | 2.6 KiB | 2025-Apr-14 04:12 |
fusesoc-2.3-r0.apk | 46.3 KiB | 2024-Oct-25 23:06 |
fusesoc-pyc-2.3-r0.apk | 89.3 KiB | 2024-Oct-25 23:06 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5.5 MiB | 2024-Oct-25 23:06 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1.1 MiB | 2024-Oct-25 23:06 |
fzy-1.1-r0.apk | 12.7 KiB | 2025-Jul-15 11:22 |
fzy-doc-1.1-r0.apk | 3.7 KiB | 2025-Jul-15 11:22 |
gambit-4.9.5-r1.apk | 7.5 MiB | 2025-Apr-07 13:33 |
gambit-dev-4.9.5-r1.apk | 6.6 MiB | 2025-Apr-07 13:33 |
gambit-doc-4.9.5-r1.apk | 4.3 KiB | 2025-Apr-07 13:33 |
game-devices-udev-0.23-r0.apk | 6.2 KiB | 2024-Nov-12 13:58 |
gamemode-1.8.2-r0.apk | 72.7 KiB | 2025-Feb-06 02:21 |
gamemode-dev-1.8.2-r0.apk | 5.1 KiB | 2025-Feb-06 02:21 |
gamemode-doc-1.8.2-r0.apk | 7.5 KiB | 2025-Feb-06 02:21 |
gammastep-2.0.9-r3.apk | 88.9 KiB | 2024-Oct-25 23:06 |
gammastep-doc-2.0.9-r3.apk | 14.1 KiB | 2024-Oct-25 23:06 |
gammastep-lang-2.0.9-r3.apk | 77.5 KiB | 2024-Oct-25 23:06 |
gammastep-pyc-2.0.9-r3.apk | 16.9 KiB | 2024-Oct-25 23:06 |
gatling-0.16-r6.apk | 151.0 KiB | 2024-Oct-25 23:06 |
gatling-doc-0.16-r6.apk | 9.1 KiB | 2024-Oct-25 23:06 |
gatling-openrc-0.16-r6.apk | 2.8 KiB | 2024-Oct-25 23:06 |
gaupol-1.12-r2.apk | 276.2 KiB | 2024-Oct-25 23:06 |
gaupol-doc-1.12-r2.apk | 2.4 KiB | 2024-Oct-25 23:06 |
gaupol-lang-1.12-r2.apk | 276.7 KiB | 2024-Oct-25 23:06 |
gaupol-pyc-1.12-r2.apk | 419.2 KiB | 2024-Oct-25 23:06 |
gb-0.4.4-r32.apk | 7.0 MiB | 2025-Jul-10 05:27 |
gcli-2.6.1-r0.apk | 117.1 KiB | 2025-Jan-26 20:29 |
gcli-doc-2.6.1-r0.apk | 28.2 KiB | 2025-Jan-26 20:29 |
gearman-dev-1.1.21-r2.apk | 1008.6 KiB | 2025-May-22 09:53 |
gearman-libs-1.1.21-r2.apk | 70.7 KiB | 2025-May-22 09:53 |
gearmand-1.1.21-r2.apk | 164.1 KiB | 2025-May-22 09:53 |
gearmand-doc-1.1.21-r2.apk | 186.2 KiB | 2025-May-22 09:53 |
gearmand-openrc-1.1.21-r2.apk | 1.8 KiB | 2025-May-22 09:53 |
gede-2.18.2-r1.apk | 254.4 KiB | 2024-Oct-25 23:06 |
genact-1.4.2-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
geoclue-stumbler-0.2.0-r0.apk | 26.7 KiB | 2024-Dec-31 15:56 |
geodns-3.3.0-r14.apk | 4.7 MiB | 2025-Jul-10 05:27 |
geodns-logs-3.3.0-r14.apk | 4.3 MiB | 2025-Jul-10 05:27 |
geodns-openrc-3.3.0-r14.apk | 1.8 KiB | 2025-Jul-10 05:27 |
geomyidae-0.34-r2.apk | 13.5 KiB | 2024-Oct-25 23:06 |
geomyidae-doc-0.34-r2.apk | 7.7 KiB | 2024-Oct-25 23:06 |
geomyidae-openrc-0.34-r2.apk | 2.0 KiB | 2024-Oct-25 23:06 |
geonames-0.3.1-r2.apk | 826.5 KiB | 2024-Oct-25 23:06 |
geonames-dev-0.3.1-r2.apk | 3.0 KiB | 2024-Oct-25 23:06 |
geonames-doc-0.3.1-r2.apk | 12.6 KiB | 2024-Oct-25 23:06 |
geonames-lang-0.3.1-r2.apk | 4.6 MiB | 2024-Oct-25 23:06 |
getmail6-6.19.08-r0.apk | 70.7 KiB | 2025-May-15 13:05 |
getmail6-doc-6.19.08-r0.apk | 138.3 KiB | 2025-May-15 13:05 |
getmail6-pyc-6.19.08-r0.apk | 104.3 KiB | 2025-May-15 13:05 |
getssl-2.48-r0.apk | 82.2 KiB | 2024-Oct-25 23:06 |
getting-things-gnome-0.6-r4.apk | 714.9 KiB | 2024-Dec-09 00:40 |
getting-things-gnome-doc-0.6-r4.apk | 497.4 KiB | 2024-Dec-09 00:40 |
getting-things-gnome-lang-0.6-r4.apk | 228.7 KiB | 2024-Dec-09 00:40 |
gf2x-1.3.0-r1.apk | 37.4 KiB | 2024-Oct-25 23:06 |
gf2x-dev-1.3.0-r1.apk | 58.3 KiB | 2024-Oct-25 23:06 |
ghc-filesystem-1.5.14-r0.apk | 38.6 KiB | 2024-Oct-25 23:06 |
ghq-1.8.0-r2.apk | 3.7 MiB | 2025-Jul-10 05:27 |
ghq-bash-completion-1.8.0-r2.apk | 1.8 KiB | 2025-Jul-10 05:27 |
ghq-doc-1.8.0-r2.apk | 5.5 KiB | 2025-Jul-10 05:27 |
ghq-fish-completion-1.8.0-r2.apk | 2.5 KiB | 2025-Jul-10 05:27 |
ghq-zsh-completion-1.8.0-r2.apk | 2.5 KiB | 2025-Jul-10 05:27 |
gimp-plugin-gmic-3.5.5-r0.apk | 1.2 MiB | 2025-Jul-15 11:40 |
ginac-1.8.9-r0.apk | 1.1 MiB | 2025-May-25 10:49 |
ginac-dev-1.8.9-r0.apk | 68.2 KiB | 2025-May-25 10:49 |
ginac-doc-1.8.9-r0.apk | 97.5 KiB | 2025-May-25 10:49 |
ginger-2.4.0-r7.apk | 257.1 KiB | 2024-Oct-25 23:06 |
ginger-lang-2.4.0-r7.apk | 125.4 KiB | 2024-Oct-25 23:06 |
ginger-pyc-2.4.0-r7.apk | 206.8 KiB | 2024-Oct-25 23:06 |
gingerbase-2.3.0-r7.apk | 195.2 KiB | 2024-Oct-25 23:06 |
gingerbase-lang-2.3.0-r7.apk | 52.9 KiB | 2024-Oct-25 23:06 |
gingerbase-pyc-2.3.0-r7.apk | 61.5 KiB | 2024-Oct-25 23:06 |
git-bug-0.8.1-r2.apk | 9.7 MiB | 2025-Jul-10 05:27 |
git-bug-bash-completion-0.8.1-r2.apk | 5.3 KiB | 2025-Jul-10 05:27 |
git-bug-doc-0.8.1-r2.apk | 16.9 KiB | 2025-Jul-10 05:27 |
git-bug-fish-completion-0.8.1-r2.apk | 4.3 KiB | 2025-Jul-10 05:27 |
git-bug-zsh-completion-0.8.1-r2.apk | 4.1 KiB | 2025-Jul-10 05:27 |
git-extras-7.4.0-r0.apk | 57.1 KiB | 2025-Jul-24 04:27 |
git-extras-bash-completion-7.4.0-r0.apk | 2.9 KiB | 2025-Jul-24 04:27 |
git-extras-doc-7.4.0-r0.apk | 65.2 KiB | 2025-Jul-24 04:27 |
git-graph-0.6.0-r0.apk | 862.2 KiB | 2024-Nov-26 02:38 |
git-graph-doc-0.6.0-r0.apk | 6.2 KiB | 2024-Nov-26 02:38 |
git-quick-stats-2.5.8-r0.apk | 12.4 KiB | 2024-Oct-25 23:06 |
git-quick-stats-doc-2.5.8-r0.apk | 2.9 KiB | 2024-Oct-25 23:06 |
git-revise-0.7.0-r5.apk | 24.3 KiB | 2024-Oct-25 23:06 |
git-revise-doc-0.7.0-r5.apk | 5.0 KiB | 2024-Oct-25 23:06 |
git-revise-pyc-0.7.0-r5.apk | 42.2 KiB | 2024-Oct-25 23:06 |
git-secret-0.5.0-r0.apk | 14.8 KiB | 2024-Oct-25 23:06 |
git-secret-doc-0.5.0-r0.apk | 17.1 KiB | 2024-Oct-25 23:06 |
git2json-0.2.3-r8.apk | 7.4 KiB | 2024-Oct-25 23:06 |
git2json-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 23:06 |
gkrellm-2.3.11-r0.apk | 366.9 KiB | 2025-Jan-09 01:36 |
gkrellm-dev-2.3.11-r0.apk | 16.5 KiB | 2025-Jan-09 01:36 |
gkrellm-doc-2.3.11-r0.apk | 18.5 KiB | 2025-Jan-09 01:36 |
gkrellm-lang-2.3.11-r0.apk | 379.1 KiB | 2025-Jan-09 01:36 |
gkrellm-server-2.3.11-r0.apk | 51.9 KiB | 2025-Jan-09 01:36 |
gliderlabs-sigil-0.11.0-r6.apk | 3.2 MiB | 2025-Jul-10 05:27 |
gliderlabs-sigil-doc-0.11.0-r6.apk | 2.4 KiB | 2025-Jul-10 05:27 |
glmark2-2023.01-r1.apk | 8.0 MiB | 2024-Oct-25 23:06 |
glmark2-doc-2023.01-r1.apk | 12.8 KiB | 2024-Oct-25 23:06 |
gloox-1.0.28-r0.apk | 352.1 KiB | 2024-Oct-25 23:06 |
gloox-dev-1.0.28-r0.apk | 928.5 KiB | 2024-Oct-25 23:06 |
glow-2.1.1-r1.apk | 5.9 MiB | 2025-Jul-10 05:27 |
glow-bash-completion-2.1.1-r1.apk | 6.1 KiB | 2025-Jul-10 05:27 |
glow-doc-2.1.1-r1.apk | 3.2 KiB | 2025-Jul-10 05:27 |
glow-fish-completion-2.1.1-r1.apk | 4.3 KiB | 2025-Jul-10 05:27 |
glow-zsh-completion-2.1.1-r1.apk | 4.0 KiB | 2025-Jul-10 05:27 |
glslviewer-3.2.4-r1.apk | 1.7 MiB | 2025-Feb-09 02:43 |
gmcapsule-0.9.7-r0.apk | 36.0 KiB | 2025-Jan-08 22:12 |
gmcapsule-openrc-0.9.7-r0.apk | 1.9 KiB | 2025-Jan-08 22:12 |
gmcapsule-pyc-0.9.7-r0.apk | 60.6 KiB | 2025-Jan-08 22:12 |
gmenuharness-0.1.4-r2.apk | 34.2 KiB | 2025-Feb-17 15:07 |
gmenuharness-dev-0.1.4-r2.apk | 4.1 KiB | 2025-Feb-17 15:07 |
gmic-3.5.5-r0.apk | 12.3 MiB | 2025-Jul-15 11:40 |
gmic-bash-completion-3.5.5-r0.apk | 28.5 KiB | 2025-Jul-15 11:40 |
gmic-dev-3.5.5-r0.apk | 7.6 KiB | 2025-Jul-15 11:40 |
gmic-doc-3.5.5-r0.apk | 221.7 KiB | 2025-Jul-15 11:40 |
gmic-libs-3.5.5-r0.apk | 3.1 MiB | 2025-Jul-15 11:40 |
gmic-qt-3.5.5-r0.apk | 1.6 MiB | 2025-Jul-15 11:40 |
gmid-2.1.1-r0.apk | 206.9 KiB | 2024-Nov-27 22:26 |
gmid-doc-2.1.1-r0.apk | 14.4 KiB | 2024-Nov-27 22:26 |
gmid-openrc-2.1.1-r0.apk | 2.2 KiB | 2024-Nov-27 22:26 |
gnome-common-3.18.0-r3.apk | 11.5 KiB | 2024-Oct-25 23:06 |
gnome-latex-3.48.0-r0.apk | 357.9 KiB | 2025-Apr-08 00:29 |
gnome-latex-doc-3.48.0-r0.apk | 108.0 KiB | 2025-Apr-08 00:29 |
gnome-latex-lang-3.48.0-r0.apk | 530.3 KiB | 2025-Apr-08 00:29 |
gnome-metronome-1.3.0-r0.apk | 456.9 KiB | 2024-Oct-25 23:06 |
gnome-metronome-lang-1.3.0-r0.apk | 24.7 KiB | 2024-Oct-25 23:06 |
gnome-user-share-48.1-r0.apk | 300.6 KiB | 2025-Jun-30 01:30 |
gnome-user-share-lang-48.1-r0.apk | 67.9 KiB | 2025-Jun-30 01:30 |
gnu-apl-1.9-r0.apk | 1.3 MiB | 2024-Oct-25 23:06 |
gnu-apl-dev-1.9-r0.apk | 584.9 KiB | 2024-Oct-25 23:06 |
gnu-apl-doc-1.9-r0.apk | 1.6 MiB | 2024-Oct-25 23:06 |
go-away-0.7.0-r0.apk | 7.8 MiB | 2025-Jun-10 22:49 |
go-away-openrc-0.7.0-r0.apk | 2.3 KiB | 2025-Jun-10 22:49 |
go-jsonnet-0.21.0-r1.apk | 6.2 MiB | 2025-Jul-10 05:27 |
go-mtpfs-1.0.0-r28.apk | 1.1 MiB | 2025-Jul-10 05:27 |
go-passbolt-cli-0.3.2-r4.apk | 6.0 MiB | 2025-Jul-10 05:27 |
gobang-0.1.0_alpha5-r1.apk | 1.7 MiB | 2024-Oct-25 23:06 |
gobuster-3.6.0-r13.apk | 3.5 MiB | 2025-Jul-10 05:27 |
godini-1.0.0-r1.apk | 1.4 MiB | 2025-Jul-10 05:27 |
godini-doc-1.0.0-r1.apk | 14.8 KiB | 2025-Jul-10 05:27 |
gomp-1.0.0-r13.apk | 3.5 MiB | 2025-Jul-10 05:27 |
goomwwm-1.0.0-r5.apk | 45.5 KiB | 2024-Oct-25 23:06 |
goreman-0.3.15-r14.apk | 2.4 MiB | 2025-Jul-10 05:27 |
goshs-1.1.0-r1.apk | 6.1 MiB | 2025-Jul-10 05:27 |
goshs-doc-1.1.0-r1.apk | 2.3 KiB | 2025-Jul-10 05:27 |
gost-3.1.0-r2.apk | 10.9 MiB | 2025-Jul-25 11:22 |
gost-doc-3.1.0-r2.apk | 2.2 KiB | 2025-Jul-25 11:22 |
gotify-2.5.0-r7.apk | 9.7 MiB | 2025-Jul-10 05:27 |
gotify-cli-2.3.2-r6.apk | 4.2 MiB | 2025-Jul-10 05:27 |
gotify-openrc-2.5.0-r7.apk | 2.0 KiB | 2025-Jul-10 05:27 |
goxel-0.15.1-r0.apk | 1.6 MiB | 2024-Oct-25 23:06 |
gprbuild-22.0.0-r3.apk | 13.4 MiB | 2024-Oct-25 23:06 |
gpscorrelate-2.3-r0.apk | 46.3 KiB | 2025-Mar-27 09:36 |
gpscorrelate-cli-2.3-r0.apk | 23.3 KiB | 2025-Mar-27 09:36 |
gpscorrelate-doc-2.3-r0.apk | 285.0 KiB | 2025-Mar-27 09:36 |
gpscorrelate-lang-2.3-r0.apk | 17.4 KiB | 2025-Mar-27 09:36 |
gr-satellites-5.5.0-r5.apk | 476.4 KiB | 2025-Jun-08 19:14 |
gr-satellites-dev-5.5.0-r5.apk | 12.7 KiB | 2025-Jun-08 19:14 |
gr-satellites-doc-5.5.0-r5.apk | 4.5 KiB | 2025-Jun-08 19:14 |
granite7-7.5.0-r0.apk | 113.9 KiB | 2024-Oct-25 23:06 |
granite7-dev-7.5.0-r0.apk | 40.0 KiB | 2024-Oct-25 23:06 |
granite7-lang-7.5.0-r0.apk | 52.0 KiB | 2024-Oct-25 23:06 |
grcov-0.8.20-r0.apk | 2.0 MiB | 2024-Nov-11 13:00 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 18.5 KiB | 2024-Oct-25 23:06 |
greetd-mini-wl-greeter-bash-completion-0_git2023..> | 2.2 KiB | 2024-Oct-25 23:06 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.3 KiB | 2024-Oct-25 23:06 |
grip-4.2.4-r0.apk | 377.4 KiB | 2024-Oct-25 23:06 |
grip-doc-4.2.4-r0.apk | 6.2 KiB | 2024-Oct-25 23:06 |
grip-lang-4.2.4-r0.apk | 144.4 KiB | 2024-Oct-25 23:06 |
gron-0.7.1-r26.apk | 2.5 MiB | 2025-Jul-10 05:27 |
gron-doc-0.7.1-r26.apk | 6.3 KiB | 2025-Jul-10 05:27 |
grpcui-1.4.3-r5.apk | 8.4 MiB | 2025-Jul-10 05:27 |
grpcurl-1.9.3-r3.apk | 8.0 MiB | 2025-Jul-10 05:27 |
gsettings-qt-1.0.0-r0.apk | 26.3 KiB | 2025-Mar-14 09:19 |
gsettings-qt-dev-1.0.0-r0.apk | 3.5 KiB | 2025-Mar-14 09:19 |
gsimplecal-2.5.1-r0.apk | 16.5 KiB | 2024-Oct-25 23:06 |
gsimplecal-doc-2.5.1-r0.apk | 5.8 KiB | 2024-Oct-25 23:06 |
gssdp-1.6.4-r0.apk | 43.4 KiB | 2025-Jun-29 02:47 |
gssdp-dev-1.6.4-r0.apk | 15.5 KiB | 2025-Jun-29 02:47 |
gstreamermm-1.10.0-r6.apk | 409.3 KiB | 2025-Feb-17 15:07 |
gstreamermm-dev-1.10.0-r6.apk | 309.7 KiB | 2025-Feb-17 15:07 |
gtk-session-lock-0.2.0-r0.apk | 23.9 KiB | 2025-Jan-31 19:16 |
gtk-session-lock-dev-0.2.0-r0.apk | 5.3 KiB | 2025-Jan-31 19:16 |
gtkhash-1.5-r0.apk | 85.8 KiB | 2024-Oct-25 23:06 |
gtkhash-lang-1.5-r0.apk | 46.6 KiB | 2024-Oct-25 23:06 |
gtklock-4.0.0-r0.apk | 17.8 KiB | 2025-Jan-31 19:16 |
gtklock-doc-4.0.0-r0.apk | 3.0 KiB | 2025-Jan-31 19:16 |
gtkwave-3.3.120-r0.apk | 2.5 MiB | 2024-Oct-25 23:06 |
gtkwave-doc-3.3.120-r0.apk | 27.1 KiB | 2024-Oct-25 23:06 |
guake-3.10-r1.apk | 305.3 KiB | 2024-Oct-25 23:06 |
guake-lang-3.10-r1.apk | 187.8 KiB | 2024-Oct-25 23:06 |
guake-pyc-3.10-r1.apk | 185.9 KiB | 2024-Oct-25 23:06 |
guestfs-tools-1.56.1-r0.apk | 287.8 KiB | 2025-Jul-24 04:27 |
guetzli-0_git20191025-r2.apk | 139.5 KiB | 2025-May-25 10:49 |
guetzli-dev-0_git20191025-r2.apk | 2.2 MiB | 2025-May-25 10:49 |
gufw-24.04-r3.apk | 596.5 KiB | 2024-Nov-20 01:34 |
gufw-doc-24.04-r3.apk | 4.5 KiB | 2024-Nov-20 01:34 |
gufw-lang-24.04-r3.apk | 854.6 KiB | 2024-Nov-20 01:34 |
gufw-pyc-24.04-r3.apk | 64.6 KiB | 2024-Nov-20 01:34 |
guish-2.6.11-r0.apk | 88.1 KiB | 2024-Dec-24 13:42 |
guish-doc-2.6.11-r0.apk | 61.3 KiB | 2024-Dec-24 13:42 |
gupnp-1.6.9-r0.apk | 84.4 KiB | 2025-Jun-29 02:47 |
gupnp-av-0.14.4-r0.apk | 74.5 KiB | 2025-Jun-29 02:47 |
gupnp-av-dev-0.14.4-r0.apk | 41.6 KiB | 2025-Jun-29 02:47 |
gupnp-dev-1.6.9-r0.apk | 50.4 KiB | 2025-Jun-29 02:47 |
gupnp-dlna-0.12.0-r0.apk | 61.2 KiB | 2024-Dec-01 15:57 |
gupnp-dlna-dev-0.12.0-r0.apk | 24.3 KiB | 2024-Dec-01 15:57 |
gupnp-doc-1.6.9-r0.apk | 3.8 KiB | 2025-Jun-29 02:47 |
gx-0.14.3-r31.apk | 4.6 MiB | 2025-Jul-10 05:27 |
gx-doc-0.14.3-r31.apk | 2.3 KiB | 2025-Jul-10 05:27 |
gx-go-1.9.0-r33.apk | 4.9 MiB | 2025-Jul-10 05:27 |
gx-go-doc-1.9.0-r33.apk | 2.3 KiB | 2025-Jul-10 05:27 |
gxlimg-0_git20240711-r0.apk | 24.7 KiB | 2025-Jan-25 10:03 |
h4h5tools-2.2.5-r4.apk | 123.1 KiB | 2024-Oct-25 23:06 |
h4h5tools-dev-2.2.5-r4.apk | 8.8 KiB | 2024-Oct-25 23:06 |
h4h5tools-doc-2.2.5-r4.apk | 2.7 KiB | 2024-Oct-25 23:06 |
h4h5tools-static-2.2.5-r4.apk | 115.0 KiB | 2024-Oct-25 23:06 |
habitctl-0.1.0-r2.apk | 329.5 KiB | 2024-Oct-25 23:06 |
halp-0.2.0-r0.apk | 929.6 KiB | 2024-Oct-25 23:06 |
halp-bash-completion-0.2.0-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
halp-doc-0.2.0-r0.apk | 6.9 KiB | 2024-Oct-25 23:06 |
halp-fish-completion-0.2.0-r0.apk | 2.0 KiB | 2024-Oct-25 23:06 |
halp-zsh-completion-0.2.0-r0.apk | 2.4 KiB | 2024-Oct-25 23:06 |
hamster-time-tracker-3.0.3-r2.apk | 155.8 KiB | 2024-Oct-25 23:06 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2.0 KiB | 2024-Oct-25 23:06 |
hamster-time-tracker-doc-3.0.3-r2.apk | 116.2 KiB | 2024-Oct-25 23:06 |
hamster-time-tracker-lang-3.0.3-r2.apk | 205.7 KiB | 2024-Oct-25 23:06 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 358.1 KiB | 2024-Oct-25 23:06 |
handlebars-1.0.0-r1.apk | 96.7 KiB | 2024-Oct-25 23:06 |
handlebars-dev-1.0.0-r1.apk | 32.4 KiB | 2024-Oct-25 23:06 |
handlebars-utils-1.0.0-r1.apk | 9.5 KiB | 2024-Oct-25 23:06 |
hardinfo2-2.2.10-r0.apk | 3.3 MiB | 2025-May-19 01:18 |
hardinfo2-doc-2.2.10-r0.apk | 2.7 KiB | 2025-May-19 01:18 |
hardinfo2-lang-2.2.10-r0.apk | 273.1 KiB | 2025-May-19 01:18 |
hardinfo2-openrc-2.2.10-r0.apk | 1.9 KiB | 2025-May-19 01:18 |
harminv-1.4.2-r1.apk | 7.4 KiB | 2024-Oct-25 23:06 |
harminv-dev-1.4.2-r1.apk | 3.1 KiB | 2024-Oct-25 23:06 |
harminv-doc-1.4.2-r1.apk | 5.6 KiB | 2024-Oct-25 23:06 |
harminv-libs-1.4.2-r1.apk | 11.8 KiB | 2024-Oct-25 23:06 |
hashcat-6.2.6-r0.apk | 55.1 MiB | 2024-Oct-25 23:06 |
hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2024-Oct-25 23:06 |
hatch-1.14.1-r0.apk | 102.1 KiB | 2025-Apr-10 16:10 |
hatch-pyc-1.14.1-r0.apk | 215.8 KiB | 2025-Apr-10 16:10 |
hatop-0.8.2-r0.apk | 18.0 KiB | 2024-Oct-25 23:06 |
hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2024-Oct-25 23:06 |
haxe-4.3.3-r1.apk | 9.8 MiB | 2025-Mar-22 17:33 |
haxe-doc-4.3.3-r1.apk | 7.7 KiB | 2025-Mar-22 17:33 |
hctl-0.2.7-r0.apk | 1.3 MiB | 2025-May-15 00:13 |
hddfancontrol-1.6.2-r0.apk | 32.8 KiB | 2024-Oct-25 23:06 |
hddfancontrol-openrc-1.6.2-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
hddfancontrol-pyc-1.6.2-r0.apk | 34.0 KiB | 2024-Oct-25 23:06 |
hdf4-4.2.15-r2.apk | 269.7 KiB | 2024-Oct-25 23:06 |
hdf4-dev-4.2.15-r2.apk | 100.8 KiB | 2024-Oct-25 23:06 |
hdf4-doc-4.2.15-r2.apk | 6.0 KiB | 2024-Oct-25 23:06 |
hdf4-tools-4.2.15-r2.apk | 186.8 KiB | 2024-Oct-25 23:06 |
heh-0.6.1-r0.apk | 484.2 KiB | 2024-Oct-25 23:06 |
heh-doc-0.6.1-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
heisenbridge-1.15.3-r0.apk | 67.2 KiB | 2025-Apr-20 08:16 |
heisenbridge-pyc-1.15.3-r0.apk | 155.0 KiB | 2025-Apr-20 08:16 |
helm-diff-3.11.0-r2.apk | 21.0 MiB | 2025-Jul-10 05:27 |
helm-ls-0.4.1-r0.apk | 18.2 MiB | 2025-Jul-24 04:27 |
helm-ls-doc-0.4.1-r0.apk | 2.2 KiB | 2025-Jul-24 04:27 |
helm-mapkubeapis-0.5.2-r7.apk | 19.4 MiB | 2025-Jul-10 05:27 |
helm-unittest-0.8.2-r1.apk | 11.7 MiB | 2025-Jul-10 05:27 |
herbe-1.0.0-r0.apk | 5.6 KiB | 2024-Oct-25 23:06 |
hex-0.6.0-r0.apk | 300.7 KiB | 2024-Oct-25 23:06 |
hexdiff-0.0.53-r3.apk | 13.7 KiB | 2025-May-25 10:49 |
hexdiff-doc-0.0.53-r3.apk | 3.7 KiB | 2025-May-25 10:49 |
hexedit-1.6_git20230905-r0.apk | 18.3 KiB | 2024-Oct-25 23:06 |
hexedit-doc-1.6_git20230905-r0.apk | 5.6 KiB | 2024-Oct-25 23:06 |
hfst-3.16.2-r0.apk | 1.3 MiB | 2025-Mar-28 19:15 |
hfst-dev-3.16.2-r0.apk | 209.0 KiB | 2025-Mar-28 19:15 |
hfst-doc-3.16.2-r0.apk | 69.7 KiB | 2025-Mar-28 19:15 |
hfst-libs-3.16.2-r0.apk | 1.8 MiB | 2025-Mar-28 19:15 |
hiawatha-11.6-r1.apk | 166.3 KiB | 2025-May-27 14:07 |
hiawatha-doc-11.6-r1.apk | 21.0 KiB | 2025-May-27 14:07 |
hiawatha-letsencrypt-11.6-r1.apk | 17.2 KiB | 2025-May-27 14:07 |
hiawatha-openrc-11.6-r1.apk | 1.7 KiB | 2025-May-27 14:07 |
hidrd-0.2.0_git20190603-r1.apk | 65.8 KiB | 2024-Oct-25 23:06 |
hidrd-dev-0.2.0_git20190603-r1.apk | 120.3 KiB | 2024-Oct-25 23:06 |
highfive-2.10.1-r0.apk | 75.5 KiB | 2025-Jan-15 05:50 |
hikari-2.3.3-r6.apk | 933.8 KiB | 2024-Oct-25 23:06 |
hikari-doc-2.3.3-r6.apk | 13.6 KiB | 2024-Oct-25 23:06 |
hikari-unlocker-2.3.3-r6.apk | 3.9 KiB | 2024-Oct-25 23:06 |
hilbish-2.3.4-r6.apk | 3.3 MiB | 2025-Jul-10 05:27 |
hilbish-doc-2.3.4-r6.apk | 24.9 KiB | 2025-Jul-10 05:27 |
hiprompt-gtk-py-0.8.0-r0.apk | 8.3 KiB | 2024-Oct-25 23:06 |
hitide-0.15.0-r0.apk | 2.1 MiB | 2024-Oct-25 23:06 |
hitide-openrc-0.15.0-r0.apk | 2.1 KiB | 2024-Oct-25 23:06 |
homebank-5.9.1-r0.apk | 2.0 MiB | 2025-May-15 00:14 |
homebank-lang-5.9.1-r0.apk | 942.4 KiB | 2025-May-15 00:14 |
hopalong-0.1-r3.apk | 19.9 KiB | 2024-Oct-25 23:06 |
horizon-0.9.6-r9.apk | 198.6 KiB | 2024-Oct-25 23:06 |
horizon-dbg-0.9.6-r9.apk | 4.0 MiB | 2024-Oct-25 23:06 |
horizon-dev-0.9.6-r9.apk | 4.9 KiB | 2024-Oct-25 23:06 |
horizon-doc-0.9.6-r9.apk | 21.4 KiB | 2024-Oct-25 23:06 |
horizon-image-0.9.6-r9.apk | 63.1 KiB | 2024-Oct-25 23:06 |
horizon-tools-0.9.6-r9.apk | 71.7 KiB | 2024-Oct-25 23:06 |
horust-0.1.7-r2.apk | 1.0 MiB | 2024-Oct-25 23:06 |
horust-doc-0.1.7-r2.apk | 9.2 KiB | 2024-Oct-25 23:06 |
hping3-20051105-r4.apk | 67.8 KiB | 2024-Oct-25 23:06 |
hping3-doc-20051105-r4.apk | 16.7 KiB | 2024-Oct-25 23:06 |
hpnssh-18.6.2-r0.apk | 2.4 MiB | 2025-Mar-20 14:55 |
hpnssh-doc-18.6.2-r0.apk | 99.2 KiB | 2025-Mar-20 14:55 |
hsetroot-1.0.5-r1.apk | 11.2 KiB | 2024-Oct-25 23:06 |
hstdb-2.1.0-r2.apk | 899.6 KiB | 2024-Oct-25 23:06 |
htmlcxx-0.87-r1.apk | 57.6 KiB | 2024-Oct-25 23:06 |
htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2024-Oct-25 23:06 |
htslib-1.19-r0.apk | 373.3 KiB | 2024-Oct-25 23:06 |
htslib-dev-1.19-r0.apk | 115.3 KiB | 2024-Oct-25 23:06 |
htslib-doc-1.19-r0.apk | 22.6 KiB | 2024-Oct-25 23:06 |
htslib-static-1.19-r0.apk | 461.1 KiB | 2024-Oct-25 23:06 |
htslib-tools-1.19-r0.apk | 1.2 MiB | 2024-Oct-25 23:06 |
httpie-oauth-1.0.2-r9.apk | 3.4 KiB | 2024-Oct-25 23:06 |
httpie-oauth-pyc-1.0.2-r9.apk | 2.3 KiB | 2024-Oct-25 23:06 |
httplz-2.2.0-r0.apk | 1.3 MiB | 2025-May-18 18:39 |
httplz-doc-2.2.0-r0.apk | 2.3 KiB | 2025-May-18 18:39 |
httpx-1.7.0-r3.apk | 13.0 MiB | 2025-Jul-10 05:27 |
httpx-doc-1.7.0-r3.apk | 2.3 KiB | 2025-Jul-10 05:27 |
httrack-3.49.2-r5.apk | 747.2 KiB | 2024-Oct-25 23:06 |
httrack-doc-3.49.2-r5.apk | 528.0 KiB | 2024-Oct-25 23:06 |
hub-2.14.2-r32.apk | 2.8 MiB | 2025-Jul-10 05:27 |
hub-bash-completion-2.14.2-r32.apk | 4.6 KiB | 2025-Jul-10 05:27 |
hub-doc-2.14.2-r32.apk | 42.0 KiB | 2025-Jul-10 05:27 |
hub-fish-completion-2.14.2-r32.apk | 3.3 KiB | 2025-Jul-10 05:27 |
hub-zsh-completion-2.14.2-r32.apk | 3.7 KiB | 2025-Jul-10 05:27 |
hubble-cli-0.13.6-r6.apk | 17.5 MiB | 2025-Jul-10 05:27 |
hubble-cli-bash-completion-0.13.6-r6.apk | 5.1 KiB | 2025-Jul-10 05:27 |
hubble-cli-fish-completion-0.13.6-r6.apk | 4.3 KiB | 2025-Jul-10 05:27 |
hubble-cli-zsh-completion-0.13.6-r6.apk | 4.1 KiB | 2025-Jul-10 05:27 |
hunspell-ca-es-3.0.7-r0.apk | 731.2 KiB | 2024-Oct-25 23:06 |
hunspell-fr-7.0-r0.apk | 1.2 MiB | 2025-Jun-13 17:31 |
hunspell-fr-doc-7.0-r0.apk | 2.8 KiB | 2025-Jun-13 17:31 |
hurl-6.1.1-r0.apk | 1.8 MiB | 2025-May-03 20:29 |
hurl-bash-completion-6.1.1-r0.apk | 2.3 KiB | 2025-May-03 20:29 |
hurl-doc-6.1.1-r0.apk | 8.8 KiB | 2025-May-03 20:29 |
hurl-fish-completion-6.1.1-r0.apk | 3.5 KiB | 2025-May-03 20:29 |
hurl-zsh-completion-6.1.1-r0.apk | 4.0 KiB | 2025-May-03 20:29 |
hurlfmt-6.1.1-r0.apk | 870.7 KiB | 2025-May-03 20:29 |
hw-probe-1.6.6-r2.apk | 123.8 KiB | 2025-Jun-30 10:14 |
hwatch-0.3.11-r0.apk | 1015.7 KiB | 2024-Oct-25 23:06 |
hwatch-doc-0.3.11-r0.apk | 3.0 KiB | 2024-Oct-25 23:06 |
hwatch-fish-completion-0.3.11-r0.apk | 1.8 KiB | 2024-Oct-25 23:06 |
hwatch-zsh-completion-0.3.11-r0.apk | 1.9 KiB | 2024-Oct-25 23:06 |
hx-1.0.15-r0.apk | 14.2 KiB | 2024-Oct-25 23:06 |
hx-doc-1.0.15-r0.apk | 4.8 KiB | 2024-Oct-25 23:06 |
hyfetch-1.99.0-r1.apk | 432.9 KiB | 2024-Oct-25 23:06 |
hyfetch-bash-completion-1.99.0-r1.apk | 3.3 KiB | 2024-Oct-25 23:06 |
hyfetch-doc-1.99.0-r1.apk | 16.5 KiB | 2024-Oct-25 23:06 |
hyfetch-pyc-1.99.0-r1.apk | 180.0 KiB | 2024-Oct-25 23:06 |
hyfetch-zsh-completion-1.99.0-r1.apk | 2.5 KiB | 2024-Oct-25 23:06 |
hypnotix-3.5-r0.apk | 110.0 KiB | 2024-Oct-25 23:06 |
hypnotix-lang-3.5-r0.apk | 72.4 KiB | 2024-Oct-25 23:06 |
hyx-2024.02.29-r0.apk | 17.1 KiB | 2024-Oct-25 23:06 |
hyx-doc-2024.02.29-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
i2util-4.2.1-r1.apk | 22.6 KiB | 2024-Oct-25 23:06 |
i2util-dev-4.2.1-r1.apk | 45.2 KiB | 2024-Oct-25 23:06 |
i2util-doc-4.2.1-r1.apk | 4.7 KiB | 2024-Oct-25 23:06 |
i3bar-river-1.1.0-r0.apk | 532.4 KiB | 2025-Mar-14 17:06 |
i3status-rust-0.34.0-r0.apk | 4.6 MiB | 2025-Jul-24 04:27 |
i3status-rust-doc-0.34.0-r0.apk | 33.1 KiB | 2025-Jul-24 04:27 |
ibus-typing-booster-2.27.24-r0.apk | 11.8 MiB | 2025-Jul-25 16:10 |
ibus-typing-booster-lang-2.27.24-r0.apk | 249.1 KiB | 2025-Jul-25 16:10 |
ibus-typing-booster-pyc-2.27.24-r0.apk | 803.5 KiB | 2025-Jul-25 16:10 |
icesprog-0_git20240108-r1.apk | 8.7 KiB | 2024-Oct-25 23:06 |
icesprog-udev-0_git20240108-r1.apk | 1.9 KiB | 2024-Oct-25 23:06 |
icestorm-0_git20240517-r0.apk | 16.5 MiB | 2024-Oct-25 23:06 |
identities-0.1.4-r0.apk | 13.4 KiB | 2025-May-15 00:14 |
identme-0.6.0-r0.apk | 46.7 KiB | 2025-Apr-03 15:33 |
idesk-1-r1.apk | 64.0 KiB | 2024-Oct-25 23:06 |
ideviceinstaller-1.1.1-r4.apk | 14.5 KiB | 2024-Oct-31 01:44 |
ideviceinstaller-doc-1.1.1-r4.apk | 2.5 KiB | 2024-Oct-31 01:44 |
idevicerestore-1.0.0-r4.apk | 83.2 KiB | 2024-Oct-31 01:44 |
idevicerestore-doc-1.0.0-r4.apk | 2.5 KiB | 2024-Oct-31 01:44 |
ifuse-1.1.4-r5.apk | 9.8 KiB | 2024-Oct-31 01:44 |
ifuse-doc-1.1.4-r5.apk | 2.3 KiB | 2024-Oct-31 01:44 |
igrep-1.2.0-r0.apk | 1.6 MiB | 2024-Oct-25 23:06 |
igrep-doc-1.2.0-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
ijq-1.1.0-r9.apk | 1.4 MiB | 2025-Jul-10 05:27 |
ijq-doc-1.1.0-r9.apk | 3.5 KiB | 2025-Jul-10 05:27 |
imapfilter-2.8.2-r0.apk | 36.8 KiB | 2024-Oct-25 23:06 |
imapfilter-doc-2.8.2-r0.apk | 12.8 KiB | 2024-Oct-25 23:06 |
imediff-2.6-r1.apk | 41.6 KiB | 2024-Oct-25 23:06 |
imediff-doc-2.6-r1.apk | 6.5 KiB | 2024-Oct-25 23:06 |
imediff-pyc-2.6-r1.apk | 43.7 KiB | 2024-Oct-25 23:06 |
imgdiff-1.0.2-r27.apk | 976.5 KiB | 2025-Jul-10 05:27 |
imgdiff-doc-1.0.2-r27.apk | 2.3 KiB | 2025-Jul-10 05:27 |
imrsh-0_git20210320-r1.apk | 8.2 KiB | 2024-Oct-25 23:06 |
imrsh-dbg-0_git20210320-r1.apk | 19.5 KiB | 2024-Oct-25 23:06 |
incus-ui-canonical-0.14.6-r0.apk | 4.5 MiB | 2025-Feb-04 01:59 |
infnoise-0.3.3-r0.apk | 14.8 KiB | 2025-May-26 11:58 |
infnoise-doc-0.3.3-r0.apk | 4.1 KiB | 2025-May-26 11:58 |
infnoise-openrc-0.3.3-r0.apk | 1.7 KiB | 2025-May-26 11:58 |
initify-0_git20171210-r1.apk | 3.3 KiB | 2024-Oct-25 23:06 |
innernet-1.6.1-r0.apk | 2.8 MiB | 2024-Oct-25 23:06 |
innernet-bash-completion-1.6.1-r0.apk | 3.8 KiB | 2024-Oct-25 23:06 |
innernet-doc-1.6.1-r0.apk | 9.0 KiB | 2024-Oct-25 23:06 |
innernet-fish-completion-1.6.1-r0.apk | 4.5 KiB | 2024-Oct-25 23:06 |
innernet-openrc-1.6.1-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
innernet-zsh-completion-1.6.1-r0.apk | 5.4 KiB | 2024-Oct-25 23:06 |
interception-tools-0.6.8-r2.apk | 105.1 KiB | 2024-Oct-25 23:06 |
interception-tools-openrc-0.6.8-r2.apk | 1.7 KiB | 2024-Oct-25 23:06 |
invidtui-0.4.6-r6.apk | 4.0 MiB | 2025-Jul-10 05:27 |
ip2location-8.6.1-r0.apk | 22.3 KiB | 2024-Oct-25 23:06 |
ip2location-dev-8.6.1-r0.apk | 12.1 KiB | 2024-Oct-25 23:06 |
ip2location-doc-8.6.1-r0.apk | 2.7 KiB | 2024-Oct-25 23:06 |
ipp-usb-0.9.30-r1.apk | 2.5 MiB | 2025-Jul-10 05:27 |
ipp-usb-doc-0.9.30-r1.apk | 8.8 KiB | 2025-Jul-10 05:27 |
ipp-usb-openrc-0.9.30-r1.apk | 1.7 KiB | 2025-Jul-10 05:27 |
iprange-1.0.4-r1.apk | 21.6 KiB | 2024-Oct-25 23:06 |
iprange-doc-1.0.4-r1.apk | 4.5 KiB | 2024-Oct-25 23:06 |
irccd-4.0.3-r0.apk | 243.0 KiB | 2024-Oct-25 23:06 |
irccd-dev-4.0.3-r0.apk | 9.6 KiB | 2024-Oct-25 23:06 |
irccd-doc-4.0.3-r0.apk | 80.4 KiB | 2024-Oct-25 23:06 |
irccd-openrc-4.0.3-r0.apk | 1.8 KiB | 2024-Oct-25 23:06 |
ircd-hybrid-8.2.47-r0.apk | 329.0 KiB | 2025-Apr-05 07:01 |
ircd-hybrid-doc-8.2.47-r0.apk | 3.6 KiB | 2025-Apr-05 07:01 |
ircdog-0.5.4-r6.apk | 2.4 MiB | 2025-Jul-10 05:27 |
isoinfo-0_git20131217-r1.apk | 6.3 KiB | 2024-Oct-25 23:06 |
isomd5sum-1.2.5-r0.apk | 18.6 KiB | 2025-Jul-15 11:40 |
isomd5sum-doc-1.2.5-r0.apk | 3.0 KiB | 2025-Jul-15 11:40 |
it87-src-1_p20240609-r0.apk | 29.7 KiB | 2024-Oct-25 23:06 |
iwmenu-0.2.0-r1.apk | 1.6 MiB | 2025-Jul-24 04:27 |
jack_capture-0.9.73_git20210429-r2.apk | 31.6 KiB | 2024-Oct-25 23:06 |
jackal-0.64.0-r16.apk | 11.6 MiB | 2025-Jul-10 05:27 |
jackal-openrc-0.64.0-r16.apk | 1.8 KiB | 2025-Jul-10 05:27 |
jackdaw-0.3.1-r2.apk | 2.0 MiB | 2025-May-29 14:57 |
jackdaw-pyc-0.3.1-r2.apk | 364.2 KiB | 2025-May-29 14:57 |
jackline-0.1.0-r3.apk | 3.9 MiB | 2024-Oct-25 23:06 |
jalv-1.6.8-r1.apk | 46.3 KiB | 2024-Oct-25 23:06 |
jalv-doc-1.6.8-r1.apk | 3.2 KiB | 2024-Oct-25 23:06 |
jalv-gtk-1.6.8-r1.apk | 31.6 KiB | 2024-Oct-25 23:06 |
jami-qt-20230925-r0.apk | 13.5 MiB | 2024-Oct-25 23:06 |
jami-qt-doc-20230925-r0.apk | 2.6 KiB | 2024-Oct-25 23:06 |
jami-qt-lang-20230925-r0.apk | 2.3 MiB | 2024-Oct-25 23:06 |
jaq-2.3.0-r0.apk | 784.2 KiB | 2025-Jul-24 04:27 |
jaq-doc-2.3.0-r0.apk | 2.2 KiB | 2025-Jul-24 04:27 |
java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2024-Oct-25 23:06 |
java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2024-Oct-25 23:06 |
java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2024-Oct-25 23:06 |
jbigkit-2.1-r2.apk | 65.0 KiB | 2024-Oct-25 23:06 |
jbigkit-dev-2.1-r2.apk | 28.9 KiB | 2024-Oct-25 23:06 |
jbigkit-doc-2.1-r2.apk | 7.3 KiB | 2024-Oct-25 23:06 |
jdebp-redo-1.4-r1.apk | 89.0 KiB | 2024-Oct-25 23:06 |
jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2024-Oct-25 23:06 |
jdupes-1.28.0-r0.apk | 29.2 KiB | 2024-Oct-25 23:06 |
jdupes-doc-1.28.0-r0.apk | 9.0 KiB | 2024-Oct-25 23:06 |
jedi-language-server-0.45.1-r0.apk | 31.8 KiB | 2025-Apr-29 22:46 |
jedi-language-server-pyc-0.45.1-r0.apk | 49.7 KiB | 2025-Apr-29 22:46 |
jfrog-cli-2.45.0-r13.apk | 8.9 MiB | 2025-Jul-10 05:27 |
jhead-3.08-r0.apk | 33.5 KiB | 2024-Oct-25 23:06 |
jhead-doc-3.08-r0.apk | 7.9 KiB | 2024-Oct-25 23:06 |
jotdown-0.7.0-r0.apk | 233.3 KiB | 2025-Mar-11 23:12 |
jrsonnet-cli-0.4.2-r1.apk | 572.5 KiB | 2024-Oct-25 23:06 |
jsmn-1.1.0-r2.apk | 4.7 KiB | 2024-Oct-25 23:06 |
json2tsv-1.2-r0.apk | 6.6 KiB | 2024-Oct-25 23:06 |
json2tsv-doc-1.2-r0.apk | 5.2 KiB | 2024-Oct-25 23:06 |
json2tsv-jaq-1.2-r0.apk | 1.9 KiB | 2024-Oct-25 23:06 |
json2tsv-jaq-doc-1.2-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
jsonnet-bundler-0.6.0-r6.apk | 3.2 MiB | 2025-Jul-10 05:27 |
jsonnet-language-server-0.15.0-r5.apk | 4.1 MiB | 2025-Jul-10 05:27 |
junit2html-31.0.2-r0.apk | 16.7 KiB | 2024-Oct-25 23:06 |
junit2html-pyc-31.0.2-r0.apk | 23.6 KiB | 2024-Oct-25 23:06 |
jwt-cli-6.2.0-r0.apk | 847.0 KiB | 2024-Dec-14 21:18 |
k2-0_git20220807-r1.apk | 109.7 KiB | 2024-Oct-25 23:06 |
k3sup-0.13.6-r6.apk | 2.6 MiB | 2025-Jul-10 05:27 |
k3sup-bash-completion-0.13.6-r6.apk | 5.0 KiB | 2025-Jul-10 05:27 |
k3sup-fish-completion-0.13.6-r6.apk | 4.3 KiB | 2025-Jul-10 05:27 |
k3sup-zsh-completion-0.13.6-r6.apk | 4.0 KiB | 2025-Jul-10 05:27 |
kabmat-2.7.0-r0.apk | 54.5 KiB | 2024-Oct-25 23:06 |
kabmat-doc-2.7.0-r0.apk | 3.5 KiB | 2024-Oct-25 23:06 |
kannel-1.5.0-r11.apk | 6.1 MiB | 2024-Oct-25 23:06 |
kannel-dev-1.5.0-r11.apk | 926.6 KiB | 2024-Oct-25 23:06 |
kannel-doc-1.5.0-r11.apk | 6.2 KiB | 2024-Oct-25 23:06 |
kapow-0.7.1-r14.apk | 3.5 MiB | 2025-Jul-10 05:27 |
katana-1.1.3-r2.apk | 13.4 MiB | 2025-Jul-10 05:27 |
katana-doc-1.1.3-r2.apk | 2.3 KiB | 2025-Jul-10 05:27 |
katarakt-0.2-r1.apk | 79.7 KiB | 2025-Jan-29 22:00 |
kbs2-0.7.2-r3.apk | 1.0 MiB | 2024-Oct-25 23:06 |
kbs2-bash-completion-0.7.2-r3.apk | 3.1 KiB | 2024-Oct-25 23:06 |
kbs2-fish-completion-0.7.2-r3.apk | 3.2 KiB | 2024-Oct-25 23:06 |
kbs2-zsh-completion-0.7.2-r3.apk | 4.0 KiB | 2024-Oct-25 23:06 |
kcbench-0.9.12-r0.apk | 37.2 KiB | 2025-Apr-29 22:46 |
kcbench-doc-0.9.12-r0.apk | 20.3 KiB | 2025-Apr-29 22:46 |
kdiskmark-3.2.0-r0.apk | 169.6 KiB | 2025-Jul-15 20:20 |
kdiskmark-lang-3.2.0-r0.apk | 31.8 KiB | 2025-Jul-15 20:20 |
keepassxc-browser-1.8.9-r0.apk | 876.4 KiB | 2024-Oct-25 23:06 |
kerberoast-0.2.0-r2.apk | 9.3 KiB | 2025-May-29 14:57 |
kerberoast-pyc-0.2.0-r2.apk | 15.1 KiB | 2025-May-29 14:57 |
kew-3.4.0-r0.apk | 359.8 KiB | 2025-Jul-24 04:27 |
kew-doc-3.4.0-r0.apk | 3.5 KiB | 2025-Jul-24 04:27 |
keybase-client-6.2.8-r11.apk | 17.4 MiB | 2025-Jul-10 05:27 |
keystone-0.9.2-r6.apk | 1.4 MiB | 2024-Oct-25 23:06 |
keystone-dev-0.9.2-r6.apk | 7.3 KiB | 2024-Oct-25 23:06 |
keystone-python-0.9.2-r6.apk | 1.6 MiB | 2024-Oct-25 23:06 |
keystone-python-pyc-0.9.2-r6.apk | 9.7 KiB | 2024-Oct-25 23:06 |
kfc-0.1.4-r0.apk | 57.7 KiB | 2024-Oct-25 23:06 |
khinsider-2.0.7-r21.apk | 3.4 MiB | 2025-Jul-10 05:27 |
khronos-4.0.1-r0.apk | 48.5 KiB | 2024-Oct-25 23:06 |
khronos-lang-4.0.1-r0.apk | 25.7 KiB | 2024-Oct-25 23:06 |
kimchi-3.0.0-r8.apk | 528.9 KiB | 2025-Feb-11 00:13 |
kimchi-lang-3.0.0-r8.apk | 171.9 KiB | 2025-Feb-11 00:13 |
kimchi-pyc-3.0.0-r8.apk | 476.1 KiB | 2025-Feb-11 00:13 |
kine-0.10.1-r14.apk | 7.7 MiB | 2025-Jul-10 05:27 |
kine-doc-0.10.1-r14.apk | 5.1 KiB | 2025-Jul-10 05:27 |
kirc-0.3.3-r0.apk | 15.3 KiB | 2025-Feb-22 14:09 |
kirc-doc-0.3.3-r0.apk | 2.8 KiB | 2025-Feb-22 14:09 |
kismet-0.202307.1-r8.apk | 11.4 MiB | 2025-Jun-12 15:08 |
kismet-linux-bluetooth-0.202307.1-r8.apk | 42.8 KiB | 2025-Jun-12 15:08 |
kismet-linux-wifi-0.202307.1-r8.apk | 61.9 KiB | 2025-Jun-12 15:08 |
kismet-logtools-0.202307.1-r8.apk | 1.1 MiB | 2025-Jun-12 15:08 |
kismet-nrf-51822-0.202307.1-r8.apk | 39.5 KiB | 2025-Jun-12 15:08 |
kismet-nxp-kw41z-0.202307.1-r8.apk | 41.2 KiB | 2025-Jun-12 15:08 |
kjv-0_git20221103-r0.apk | 1.5 MiB | 2024-Oct-25 23:06 |
klong-20221212-r0.apk | 331.8 KiB | 2025-Jun-07 18:16 |
kmscon-9.1.0-r0.apk | 834.1 KiB | 2025-Jul-26 02:41 |
kmscon-doc-9.1.0-r0.apk | 8.4 KiB | 2025-Jul-26 02:41 |
knative-client-1.18.0-r1.apk | 23.3 MiB | 2025-Jul-10 05:27 |
knative-client-bash-completion-1.18.0-r1.apk | 10.2 KiB | 2025-Jul-10 05:27 |
knative-client-fish-completion-1.18.0-r1.apk | 4.3 KiB | 2025-Jul-10 05:27 |
knative-client-zsh-completion-1.18.0-r1.apk | 4.0 KiB | 2025-Jul-10 05:27 |
knxd-0.14.61-r1.apk | 373.5 KiB | 2024-Dec-14 21:56 |
knxd-dev-0.14.61-r1.apk | 23.6 KiB | 2024-Dec-14 21:56 |
ko-0.17.1-r6.apk | 10.0 MiB | 2025-Jul-10 05:27 |
ko-bash-completion-0.17.1-r6.apk | 5.0 KiB | 2025-Jul-10 05:27 |
ko-fish-completion-0.17.1-r6.apk | 4.3 KiB | 2025-Jul-10 05:27 |
ko-zsh-completion-0.17.1-r6.apk | 4.0 KiB | 2025-Jul-10 05:27 |
komikku-1.81.0-r0.apk | 438.6 KiB | 2025-Jul-15 11:22 |
komikku-lang-1.81.0-r0.apk | 275.5 KiB | 2025-Jul-15 11:22 |
komikku-pyc-1.81.0-r0.apk | 784.0 KiB | 2025-Jul-15 11:22 |
kompose-1.31.2-r11.apk | 7.1 MiB | 2025-Jul-10 05:28 |
kompose-bash-completion-1.31.2-r11.apk | 5.6 KiB | 2025-Jul-10 05:28 |
kompose-fish-completion-1.31.2-r11.apk | 4.4 KiB | 2025-Jul-10 05:28 |
kompose-zsh-completion-1.31.2-r11.apk | 6.8 KiB | 2025-Jul-10 05:28 |
kondo-0.8-r0.apk | 688.7 KiB | 2024-Oct-25 23:06 |
kondo-bash-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 23:06 |
kondo-fish-completion-0.8-r0.apk | 2.1 KiB | 2024-Oct-25 23:06 |
kondo-zsh-completion-0.8-r0.apk | 2.4 KiB | 2024-Oct-25 23:06 |
kopia-0.19.0-r5.apk | 16.1 MiB | 2025-Jul-10 05:28 |
kopia-bash-completion-0.19.0-r5.apk | 1.8 KiB | 2025-Jul-10 05:28 |
kopia-zsh-completion-0.19.0-r5.apk | 1.8 KiB | 2025-Jul-10 05:28 |
kronosnet-1.30-r0.apk | 78.2 KiB | 2025-May-28 02:07 |
kronosnet-dev-1.30-r0.apk | 2.4 MiB | 2025-May-28 02:07 |
kronosnet-doc-1.30-r0.apk | 105.7 KiB | 2025-May-28 02:07 |
ktx-4.3.2-r1.apk | 1.1 MiB | 2025-Jun-10 05:49 |
ktx-dev-4.3.2-r1.apk | 28.9 KiB | 2025-Jun-10 05:49 |
ktx-libs-4.3.2-r1.apk | 1.3 MiB | 2025-Jun-10 05:49 |
kube-no-trouble-0.7.3-r6.apk | 13.4 MiB | 2025-Jul-10 05:28 |
kubeconform-0.6.6-r8.apk | 3.4 MiB | 2025-Jul-10 05:28 |
kubeone-1.10.0-r1.apk | 26.1 MiB | 2025-Jul-10 05:28 |
kubeone-bash-completion-1.10.0-r1.apk | 6.7 KiB | 2025-Jul-10 05:28 |
kubeone-doc-1.10.0-r1.apk | 20.3 KiB | 2025-Jul-10 05:28 |
kubeone-zsh-completion-1.10.0-r1.apk | 4.0 KiB | 2025-Jul-10 05:28 |
kubepug-1.7.1-r11.apk | 16.1 MiB | 2025-Jul-10 05:28 |
kubepug-bash-completion-1.7.1-r11.apk | 5.1 KiB | 2025-Jul-10 05:28 |
kubepug-fish-completion-1.7.1-r11.apk | 4.3 KiB | 2025-Jul-10 05:28 |
kubepug-zsh-completion-1.7.1-r11.apk | 4.0 KiB | 2025-Jul-10 05:28 |
kubeseal-0.30.0-r1.apk | 11.3 MiB | 2025-Jul-10 05:28 |
kubeseal-doc-0.30.0-r1.apk | 5.5 KiB | 2025-Jul-10 05:28 |
kubesplit-0.3.3-r1.apk | 12.7 KiB | 2024-Oct-25 23:06 |
kubesplit-pyc-0.3.3-r1.apk | 13.0 KiB | 2024-Oct-25 23:06 |
lavacli-2.4-r0.apk | 49.3 KiB | 2025-Jun-15 07:01 |
lavacli-doc-2.4-r0.apk | 34.2 KiB | 2025-Jun-15 07:01 |
lavacli-pyc-2.4-r0.apk | 88.2 KiB | 2025-Jun-15 07:01 |
laze-0.1.38-r0.apk | 1.1 MiB | 2025-Jul-24 04:27 |
laze-bash-completion-0.1.38-r0.apk | 3.1 KiB | 2025-Jul-24 04:27 |
laze-doc-0.1.38-r0.apk | 3.6 KiB | 2025-Jul-24 04:27 |
laze-fish-completion-0.1.38-r0.apk | 3.4 KiB | 2025-Jul-24 04:27 |
laze-zsh-completion-0.1.38-r0.apk | 3.7 KiB | 2025-Jul-24 04:27 |
lbb-0.9.1-r4.apk | 3.1 MiB | 2025-Jul-10 05:28 |
lbb-doc-0.9.1-r4.apk | 18.6 KiB | 2025-Jul-10 05:28 |
lcalc-2.1.0-r0.apk | 170.1 KiB | 2025-May-03 11:31 |
lcalc-dev-2.1.0-r0.apk | 51.4 KiB | 2025-May-03 11:31 |
lcalc-doc-2.1.0-r0.apk | 436.8 KiB | 2025-May-03 11:31 |
lcalc-libs-2.1.0-r0.apk | 232.6 KiB | 2025-May-03 11:31 |
ldapdomaindump-0.9.4-r2.apk | 18.0 KiB | 2025-May-29 14:57 |
ldapdomaindump-pyc-0.9.4-r2.apk | 30.5 KiB | 2025-May-29 14:57 |
ledmon-1.0.0-r0.apk | 75.5 KiB | 2024-Oct-25 23:06 |
ledmon-doc-1.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 23:06 |
lefthook-1.11.14-r1.apk | 5.2 MiB | 2025-Jul-10 05:28 |
lefthook-doc-1.11.14-r1.apk | 2.2 KiB | 2025-Jul-10 05:28 |
legume-1.4.2-r10.apk | 1.4 MiB | 2025-Jul-10 05:28 |
legume-doc-1.4.2-r10.apk | 12.3 KiB | 2025-Jul-10 05:28 |
leptosfmt-0.1.33-r0.apk | 1.0 MiB | 2025-Mar-25 18:06 |
leptosfmt-doc-0.1.33-r0.apk | 6.0 KiB | 2025-Mar-25 18:06 |
levmar-dev-2.6-r0.apk | 45.7 KiB | 2024-Oct-25 23:06 |
lfm-3.1-r4.apk | 88.1 KiB | 2024-Oct-25 23:06 |
lfm-doc-3.1-r4.apk | 2.8 KiB | 2024-Oct-25 23:06 |
lfm-pyc-3.1-r4.apk | 133.7 KiB | 2024-Oct-25 23:06 |
lgogdownloader-3.16-r1.apk | 357.2 KiB | 2025-Mar-25 18:01 |
lgogdownloader-doc-3.16-r1.apk | 8.5 KiB | 2025-Mar-25 18:01 |
libabigail-2.3-r0.apk | 761.5 KiB | 2024-Oct-25 23:06 |
libabigail-bash-completion-2.3-r0.apk | 3.0 KiB | 2024-Oct-25 23:06 |
libabigail-dev-2.3-r0.apk | 1.3 MiB | 2024-Oct-25 23:06 |
libabigail-doc-2.3-r0.apk | 61.2 KiB | 2024-Oct-25 23:06 |
libabigail-tools-2.3-r0.apk | 97.3 KiB | 2024-Oct-25 23:06 |
libantlr3c-3.4-r3.apk | 38.0 KiB | 2024-Oct-25 23:06 |
libantlr3c-dev-3.4-r3.apk | 58.4 KiB | 2024-Oct-25 23:06 |
libantlr4-4.13.2-r0.apk | 397.4 KiB | 2024-Dec-12 15:30 |
libantlr4-dev-4.13.2-r0.apk | 862.9 KiB | 2024-Dec-12 15:30 |
libaudec-0.3.4-r3.apk | 28.3 KiB | 2024-Oct-25 23:06 |
libaudec-dev-0.3.4-r3.apk | 4.3 KiB | 2024-Oct-25 23:06 |
libaudec-static-0.3.4-r3.apk | 29.9 KiB | 2024-Oct-25 23:06 |
libaudec-tools-0.3.4-r3.apk | 28.4 KiB | 2024-Oct-25 23:06 |
libb64-2.0.0.1-r0.apk | 4.5 KiB | 2024-Oct-25 23:06 |
libb64-dev-2.0.0.1-r0.apk | 5.5 KiB | 2024-Oct-25 23:06 |
libb64-doc-2.0.0.1-r0.apk | 8.0 KiB | 2024-Oct-25 23:06 |
libbamf-0.5.6-r1.apk | 133.0 KiB | 2024-Oct-25 23:06 |
libbamf-dev-0.5.6-r1.apk | 6.4 KiB | 2024-Oct-25 23:06 |
libbamf-doc-0.5.6-r1.apk | 31.1 KiB | 2024-Oct-25 23:06 |
libbraiding-1.3.1-r0.apk | 78.6 KiB | 2024-Nov-20 01:34 |
libbraiding-dev-1.3.1-r0.apk | 15.1 KiB | 2024-Nov-20 01:34 |
libbsoncxx-3.8.0-r0.apk | 42.1 KiB | 2024-Oct-25 23:06 |
libbsoncxx-dev-3.8.0-r0.apk | 38.7 KiB | 2024-Oct-25 23:06 |
libcec-rpi-6.0.2-r4.apk | 169.6 KiB | 2024-Oct-25 23:06 |
libcec-rpi-dev-6.0.2-r4.apk | 24.6 KiB | 2024-Oct-25 23:06 |
libcli-1.10.7-r0.apk | 25.8 KiB | 2024-Oct-25 23:06 |
libcork-0.15.0-r7.apk | 28.7 KiB | 2024-Oct-25 23:06 |
libcork-dev-0.15.0-r7.apk | 30.0 KiB | 2024-Oct-25 23:06 |
libcork-tools-0.15.0-r7.apk | 4.6 KiB | 2024-Oct-25 23:06 |
libcorkipset-1.1.1-r4.apk | 11.2 KiB | 2024-Oct-25 23:06 |
libcorkipset-dev-1.1.1-r4.apk | 8.1 KiB | 2024-Oct-25 23:06 |
libcorkipset-tools-1.1.1-r4.apk | 11.6 KiB | 2024-Oct-25 23:06 |
libcotp-3.1.0-r0.apk | 7.8 KiB | 2024-Oct-25 23:06 |
libcotp-dev-3.1.0-r0.apk | 2.5 KiB | 2024-Oct-25 23:06 |
libcpdf-2.8.1-r0.apk | 2.3 MiB | 2025-May-15 00:14 |
libcpdf-dev-2.8.1-r0.apk | 16.7 KiB | 2025-May-15 00:14 |
libcpdf-static-2.8.1-r0.apk | 3.2 MiB | 2025-May-15 00:14 |
libctl-4.5.1-r1.apk | 87.0 KiB | 2024-Oct-25 23:06 |
libctl-dev-4.5.1-r1.apk | 38.6 KiB | 2024-Oct-25 23:06 |
libctl-doc-4.5.1-r1.apk | 3.0 KiB | 2024-Oct-25 23:06 |
libcyaml-1.4.2-r0.apk | 18.7 KiB | 2024-Oct-25 23:06 |
libcyaml-dev-1.4.2-r0.apk | 12.9 KiB | 2024-Oct-25 23:06 |
libcyaml-doc-1.4.2-r0.apk | 8.6 KiB | 2024-Oct-25 23:06 |
libcyaml-static-1.4.2-r0.apk | 21.4 KiB | 2024-Oct-25 23:06 |
libdbusaccess-1.0.20-r0.apk | 14.9 KiB | 2025-Apr-14 01:26 |
libdbusaccess-dev-1.0.20-r0.apk | 5.0 KiB | 2025-Apr-14 01:26 |
libdcmtk-3.6.9-r0.apk | 6.4 MiB | 2025-Apr-08 01:06 |
libdng-0.2.1-r0.apk | 10.7 KiB | 2024-Dec-28 01:09 |
libdng-dev-0.2.1-r0.apk | 3.2 KiB | 2024-Dec-28 01:09 |
libdng-doc-0.2.1-r0.apk | 4.2 KiB | 2024-Dec-28 01:09 |
libdng-utils-0.2.1-r0.apk | 5.8 KiB | 2024-Dec-28 01:09 |
libeantic-2.1.0-r1.apk | 74.3 KiB | 2025-Jun-13 07:56 |
libeantic-dev-2.1.0-r1.apk | 17.5 KiB | 2025-Jun-13 07:56 |
libecap-1.0.1-r1.apk | 13.3 KiB | 2024-Oct-25 23:06 |
libecap-dev-1.0.1-r1.apk | 11.4 KiB | 2024-Oct-25 23:06 |
libecap-static-1.0.1-r1.apk | 18.8 KiB | 2024-Oct-25 23:06 |
libemf2svg-1.1.0-r2.apk | 141.8 KiB | 2024-Oct-25 23:06 |
libemf2svg-utils-1.1.0-r2.apk | 17.8 KiB | 2024-Oct-25 23:06 |
liberasurecode-1.6.3-r1.apk | 35.9 KiB | 2024-Oct-25 23:06 |
liberasurecode-dev-1.6.3-r1.apk | 18.3 KiB | 2024-Oct-25 23:06 |
libettercap-0.8.3.1-r3.apk | 194.0 KiB | 2024-Oct-25 23:06 |
libfishsound-1.0.0-r1.apk | 7.9 KiB | 2024-Oct-25 23:06 |
libfishsound-dev-1.0.0-r1.apk | 54.0 KiB | 2024-Oct-25 23:06 |
libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2024-Oct-25 23:06 |
libfoma-0.10.0_git20240712-r0.apk | 96.0 KiB | 2024-Oct-25 23:06 |
libfort-0.4.2-r0.apk | 29.0 KiB | 2024-Oct-25 23:06 |
libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2024-Oct-25 23:06 |
libfyaml-0.9-r0.apk | 249.2 KiB | 2024-Oct-25 23:06 |
libfyaml-dev-0.9-r0.apk | 42.3 KiB | 2024-Oct-25 23:06 |
libfyaml-doc-0.9-r0.apk | 7.4 KiB | 2024-Oct-25 23:06 |
libgivaro-4.2.0-r2.apk | 73.6 KiB | 2024-Oct-25 23:06 |
libgivaro-dev-4.2.0-r2.apk | 244.4 KiB | 2024-Oct-25 23:06 |
libgivaro-static-4.2.0-r2.apk | 94.5 KiB | 2024-Oct-25 23:06 |
libglib-testing-0.1.1-r0.apk | 12.0 KiB | 2025-May-15 00:14 |
libglib-testing-dev-0.1.1-r0.apk | 5.5 KiB | 2025-May-15 00:14 |
libglib-testing-doc-0.1.1-r0.apk | 25.0 KiB | 2025-May-15 00:14 |
libgrapheme-2.0.2-r0.apk | 22.9 KiB | 2025-Jul-24 04:27 |
libgrapheme-dev-2.0.2-r0.apk | 30.4 KiB | 2025-Jul-24 04:27 |
libgrapheme-doc-2.0.2-r0.apk | 20.7 KiB | 2025-Jul-24 04:27 |
libguestfs-1.56.1-r0.apk | 344.7 KiB | 2025-Jul-24 04:27 |
libguestfs-dev-1.56.1-r0.apk | 29.4 KiB | 2025-Jul-24 04:27 |
libguestfs-doc-1.56.1-r0.apk | 568.6 KiB | 2025-Jul-24 04:27 |
libguestfs-static-1.56.1-r0.apk | 474.9 KiB | 2025-Jul-24 04:27 |
libhomfly-1.02_p6-r1.apk | 14.0 KiB | 2024-Oct-25 23:06 |
libhomfly-dev-1.02_p6-r1.apk | 16.9 KiB | 2024-Oct-25 23:06 |
libhwpwm-0.4.4-r0.apk | 6.0 KiB | 2024-Oct-25 23:06 |
libhwpwm-dev-0.4.4-r0.apk | 5.4 KiB | 2024-Oct-25 23:06 |
libhwpwm-doc-0.4.4-r0.apk | 13.0 KiB | 2024-Oct-25 23:06 |
libideviceactivation-1.1.1-r5.apk | 15.8 KiB | 2024-Oct-31 01:44 |
libideviceactivation-dev-1.1.1-r5.apk | 3.3 KiB | 2024-Oct-31 01:44 |
libideviceactivation-doc-1.1.1-r5.apk | 2.2 KiB | 2024-Oct-31 01:44 |
libigraph-0.10.16-r0.apk | 1.1 MiB | 2025-Jun-11 22:59 |
libigraph-dev-0.10.16-r0.apk | 90.8 KiB | 2025-Jun-11 22:59 |
libiio-0.25-r2.apk | 47.0 KiB | 2024-Oct-25 23:06 |
libiio-dev-0.25-r2.apk | 13.4 KiB | 2024-Oct-25 23:06 |
libiio-doc-0.25-r2.apk | 17.9 KiB | 2024-Oct-25 23:06 |
libiio-pyc-0.25-r2.apk | 21.0 KiB | 2024-Oct-25 23:06 |
libiio-tools-0.25-r2.apk | 70.6 KiB | 2024-Oct-25 23:06 |
libiml-1.0.5-r3.apk | 61.9 KiB | 2024-Oct-25 23:06 |
libiml-dev-1.0.5-r3.apk | 3.9 KiB | 2024-Oct-25 23:06 |
libiml-static-1.0.5-r3.apk | 62.3 KiB | 2024-Oct-25 23:06 |
libinfnoise-0.3.3-r0.apk | 13.6 KiB | 2025-May-26 11:58 |
libirecovery-1.2.1-r0.apk | 24.4 KiB | 2024-Oct-31 01:44 |
libirecovery-dev-1.2.1-r0.apk | 4.1 KiB | 2024-Oct-31 01:44 |
libirecovery-progs-1.2.1-r0.apk | 8.2 KiB | 2024-Oct-31 01:44 |
libiscsi-1.19.0-r2.apk | 56.0 KiB | 2024-Oct-25 23:06 |
libiscsi-dev-1.19.0-r2.apk | 20.4 KiB | 2024-Oct-25 23:06 |
libiscsi-doc-1.19.0-r2.apk | 9.3 KiB | 2024-Oct-25 23:06 |
libiscsi-static-1.19.0-r2.apk | 70.3 KiB | 2024-Oct-25 23:06 |
libiscsi-utils-1.19.0-r2.apk | 81.6 KiB | 2024-Oct-25 23:06 |
libjodycode-3.1.1-r0.apk | 7.3 KiB | 2024-Oct-25 23:06 |
libjodycode-dev-3.1.1-r0.apk | 4.2 KiB | 2024-Oct-25 23:06 |
libjodycode-doc-3.1.1-r0.apk | 3.7 KiB | 2024-Oct-25 23:06 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 152.0 KiB | 2024-Oct-25 23:06 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.6 KiB | 2024-Oct-25 23:06 |
libm4ri-20240729-r2.apk | 131.7 KiB | 2025-Jan-15 21:06 |
libm4ri-dev-20240729-r2.apk | 31.5 KiB | 2025-Jan-15 21:06 |
libm4ri-static-20240729-r2.apk | 141.7 KiB | 2025-Jan-15 21:06 |
libm4rie-20200125-r5.apk | 222.8 KiB | 2025-Jan-15 21:06 |
libm4rie-dev-20200125-r5.apk | 24.4 KiB | 2025-Jan-15 21:06 |
libm4rie-static-20200125-r5.apk | 236.3 KiB | 2025-Jan-15 21:06 |
libmdbx-0.11.8-r0.apk | 721.6 KiB | 2024-Oct-25 23:06 |
libmdbx-dbg-0.11.8-r0.apk | 2.3 MiB | 2024-Oct-25 23:06 |
libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2024-Oct-25 23:06 |
libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2024-Oct-25 23:06 |
libmdf-1.0.29-r0.apk | 34.8 KiB | 2024-Oct-25 23:06 |
libmdf-dev-1.0.29-r0.apk | 13.8 KiB | 2024-Oct-25 23:06 |
libmhash-0.9.9.9-r3.apk | 100.7 KiB | 2024-Oct-25 23:06 |
libmhash-dev-0.9.9.9-r3.apk | 112.9 KiB | 2024-Oct-25 23:06 |
libmhash-doc-0.9.9.9-r3.apk | 8.2 KiB | 2024-Oct-25 23:06 |
libmpfi-1.5.4-r2.apk | 28.0 KiB | 2024-Oct-25 23:06 |
libmpfi-dev-1.5.4-r2.apk | 5.4 KiB | 2024-Oct-25 23:06 |
libmpfi-doc-1.5.4-r2.apk | 18.7 KiB | 2024-Oct-25 23:06 |
libmpfi-static-1.5.4-r2.apk | 41.5 KiB | 2024-Oct-25 23:06 |
libmrss-0.19.2-r1.apk | 18.4 KiB | 2024-Oct-25 23:06 |
libmrss-dev-0.19.2-r1.apk | 29.2 KiB | 2024-Oct-25 23:06 |
libmustache-0.5.0-r1.apk | 81.2 KiB | 2024-Oct-25 23:06 |
libmygpo-qt-1.1.0-r2.apk | 68.4 KiB | 2024-Oct-25 23:06 |
libmygpo-qt-dev-1.1.0-r2.apk | 11.9 KiB | 2024-Oct-25 23:06 |
libmysofa-1.3.2-r0.apk | 24.8 KiB | 2024-Oct-25 23:06 |
libmysofa-dev-1.3.2-r0.apk | 7.0 KiB | 2024-Oct-25 23:06 |
libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
libnest2d-0.4-r7.apk | 1.2 KiB | 2025-Feb-06 07:42 |
libnest2d-dev-0.4-r7.apk | 69.9 KiB | 2025-Feb-06 07:42 |
libnfc-1.8.0-r1.apk | 56.4 KiB | 2024-Oct-25 23:06 |
libnfc-dev-1.8.0-r1.apk | 7.9 KiB | 2024-Oct-25 23:06 |
libnfc-doc-1.8.0-r1.apk | 22.0 KiB | 2024-Oct-25 23:06 |
libnfc-tools-1.8.0-r1.apk | 60.2 KiB | 2024-Oct-25 23:06 |
libnfcdef-1.0.1-r0.apk | 11.4 KiB | 2025-Apr-14 01:26 |
libnfcdef-dev-1.0.1-r0.apk | 5.7 KiB | 2025-Apr-14 01:26 |
libnih-1.0.3-r7.apk | 119.8 KiB | 2024-Oct-25 23:06 |
libnih-dev-1.0.3-r7.apk | 116.9 KiB | 2024-Oct-25 23:06 |
libnih-doc-1.0.3-r7.apk | 2.7 KiB | 2024-Oct-25 23:06 |
libntl-11.5.1-r4.apk | 1.0 MiB | 2024-Oct-25 23:06 |
libntl-dev-11.5.1-r4.apk | 158.7 KiB | 2024-Oct-25 23:06 |
libntl-doc-11.5.1-r4.apk | 373.6 KiB | 2024-Oct-25 23:06 |
libntl-static-11.5.1-r4.apk | 1.5 MiB | 2024-Oct-25 23:06 |
libnxml-0.18.3-r0.apk | 19.5 KiB | 2024-Oct-25 23:06 |
libnxml-dev-0.18.3-r0.apk | 28.3 KiB | 2024-Oct-25 23:06 |
libofx-0.10.9-r1.apk | 62.3 KiB | 2024-Oct-25 23:06 |
libofx-dev-0.10.9-r1.apk | 19.6 KiB | 2024-Oct-25 23:06 |
libofx-tools-0.10.9-r1.apk | 103.8 KiB | 2024-Oct-25 23:06 |
liboggz-1.1.1-r2.apk | 117.6 KiB | 2024-Oct-25 23:06 |
liboggz-dev-1.1.1-r2.apk | 154.9 KiB | 2024-Oct-25 23:06 |
liboggz-doc-1.1.1-r2.apk | 134.2 KiB | 2024-Oct-25 23:06 |
libqd-2.3.24-r0.apk | 147.4 KiB | 2024-Oct-25 23:06 |
libqd-dev-2.3.24-r0.apk | 57.7 KiB | 2024-Oct-25 23:06 |
libqd-doc-2.3.24-r0.apk | 182.4 KiB | 2024-Oct-25 23:06 |
libqd-static-2.3.24-r0.apk | 235.6 KiB | 2024-Oct-25 23:06 |
libqtdbusmock-0.9.1-r2.apk | 63.3 KiB | 2025-Feb-17 15:07 |
libqtdbustest-0.3.3-r1.apk | 28.8 KiB | 2025-Feb-17 15:07 |
libre-3.23.0-r0.apk | 257.8 KiB | 2025-Jun-27 10:13 |
libre-dev-3.23.0-r0.apk | 428.5 KiB | 2025-Jun-27 10:13 |
libreoffice-voikko-5.0_git20200127-r0.apk | 45.4 KiB | 2024-Oct-25 23:06 |
librespot-0.6.0-r0.apk | 2.9 MiB | 2024-Nov-02 00:57 |
librespot-openrc-0.6.0-r0.apk | 1.8 KiB | 2024-Nov-02 00:57 |
libresprite-1.2-r0.apk | 14.7 MiB | 2025-Apr-14 01:16 |
libresprite-doc-1.2-r0.apk | 14.7 KiB | 2025-Apr-14 01:16 |
libretro-atari800-0_git20240924-r0.apk | 268.8 KiB | 2024-Oct-25 23:06 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 342.8 KiB | 2024-Oct-25 23:06 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 281.0 KiB | 2024-Oct-25 23:06 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 352.4 KiB | 2024-Oct-25 23:06 |
libretro-bluemsx-0_git20240808-r0.apk | 590.3 KiB | 2024-Oct-25 23:06 |
libretro-cannonball-0_git20220309-r6.apk | 222.9 KiB | 2024-Oct-25 23:06 |
libretro-cap32-0_git20220419-r0.apk | 293.4 KiB | 2024-Oct-25 23:06 |
libretro-crocods-0_git20210314-r1.apk | 258.3 KiB | 2024-Oct-25 23:06 |
libretro-daphne-0_git20210108-r2.apk | 561.6 KiB | 2024-Oct-25 23:06 |
libretro-dinothawr-0_git20220401-r0.apk | 119.2 KiB | 2024-Oct-25 23:06 |
libretro-fbneo-0_git20220416-r0.apk | 9.7 MiB | 2024-Oct-25 23:06 |
libretro-freeintv-0_git20220319-r0.apk | 32.8 KiB | 2024-Oct-25 23:06 |
libretro-frodo-0_git20221221-r0.apk | 146.9 KiB | 2024-Oct-25 23:06 |
libretro-fuse-0_git20220417-r0.apk | 841.0 KiB | 2024-Oct-25 23:06 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 833.3 KiB | 2024-Oct-25 23:06 |
libretro-gme-0_git20240628-r0.apk | 173.2 KiB | 2024-Oct-25 23:06 |
libretro-gong-0_git20220319-r0.apk | 8.6 KiB | 2024-Oct-25 23:06 |
libretro-gw-0_git20220410-r0.apk | 161.8 KiB | 2024-Oct-25 23:06 |
libretro-mame2000-0_git20240701-r0.apk | 2.6 MiB | 2024-Oct-25 23:06 |
libretro-mame2003-0_git20240904-r0.apk | 6.7 MiB | 2024-Oct-25 23:06 |
libretro-mu-0_git20220317-r0.apk | 144.3 KiB | 2024-Oct-25 23:06 |
libretro-neocd-0_git20220325-r1.apk | 386.6 KiB | 2024-Oct-25 23:06 |
libretro-nxengine-0_git20220301-r0.apk | 271.2 KiB | 2024-Oct-25 23:06 |
libretro-openlara-0_git20210121-r0.apk | 457.2 KiB | 2024-Oct-25 23:06 |
libretro-opera-0_git20211214-r0.apk | 161.2 KiB | 2024-Oct-25 23:06 |
libretro-parallel-n64-0_git20220406-r0.apk | 864.9 KiB | 2024-Oct-25 23:06 |
libretro-picodrive-0_git20220405-r0.apk | 439.6 KiB | 2024-Oct-25 23:06 |
libretro-pocketcdg-0_git20220327-r0.apk | 82.1 KiB | 2024-Oct-25 23:06 |
libretro-ppsspp-0_git20210516-r15.apk | 2.3 MiB | 2025-Apr-10 23:24 |
libretro-scummvm-0_git20210325-r0.apk | 17.8 MiB | 2024-Oct-25 23:06 |
libretro-snes9x-0_git20240819-r0.apk | 687.7 KiB | 2024-Oct-25 23:06 |
libretro-theodore-3.1-r0.apk | 870.7 KiB | 2024-Oct-25 23:06 |
libretro-tyrquake-0_git20220409-r0.apk | 368.2 KiB | 2024-Oct-25 23:06 |
libretro-xrick-0_git20220331-r0.apk | 111.2 KiB | 2024-Oct-25 23:06 |
libsbsms-2.3.0-r0.apk | 95.1 KiB | 2024-Oct-25 23:06 |
libsbsms-dev-2.3.0-r0.apk | 126.5 KiB | 2024-Oct-25 23:06 |
libsds-2.0.0-r1.apk | 9.6 KiB | 2024-Oct-25 23:06 |
libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2024-Oct-25 23:06 |
libsemanage-3.6-r1.apk | 89.2 KiB | 2024-Oct-25 23:06 |
libsemanage-dev-3.6-r1.apk | 137.1 KiB | 2024-Oct-25 23:06 |
libsemanage-doc-3.6-r1.apk | 22.9 KiB | 2024-Oct-25 23:06 |
libsemigroups-2.7.3-r1.apk | 610.2 KiB | 2024-Dec-14 21:56 |
libsemigroups-dev-2.7.3-r1.apk | 334.5 KiB | 2024-Dec-14 21:56 |
libsemigroups-static-2.7.3-r1.apk | 1.4 MiB | 2024-Dec-14 21:56 |
libserialport-0.1.1-r1.apk | 21.9 KiB | 2024-Oct-25 23:06 |
libserialport-dev-0.1.1-r1.apk | 38.8 KiB | 2024-Oct-25 23:06 |
libsigrok-0.5.2-r3.apk | 478.7 KiB | 2024-Oct-25 23:06 |
libsigrok-dev-0.5.2-r3.apk | 30.7 KiB | 2024-Oct-25 23:06 |
libsigrokdecode-0.5.3-r4.apk | 333.3 KiB | 2024-Oct-25 23:06 |
libsigrokdecode-dev-0.5.3-r4.apk | 37.0 KiB | 2024-Oct-25 23:06 |
libsimpleble-0.10.3-r0.apk | 185.1 KiB | 2025-Jul-15 11:22 |
libsimpleble-c-0.10.3-r0.apk | 14.2 KiB | 2025-Jul-15 11:22 |
libsimplebluez-0.10.3-r0.apk | 132.2 KiB | 2025-Jul-15 11:22 |
libsirocco-2.1.0-r2.apk | 56.6 KiB | 2024-Oct-25 23:06 |
libsirocco-dev-2.1.0-r2.apk | 1.9 KiB | 2024-Oct-25 23:06 |
libspatialindex-2.1.0-r0.apk | 310.1 KiB | 2025-May-31 13:08 |
libspatialindex-dev-2.1.0-r0.apk | 21.4 KiB | 2025-May-31 13:08 |
libstirshaken-0_git20240208-r4.apk | 52.5 KiB | 2025-Apr-29 22:46 |
libstirshaken-dev-0_git20240208-r4.apk | 81.2 KiB | 2025-Apr-29 22:46 |
libstirshaken-tools-0_git20240208-r4.apk | 158.8 KiB | 2025-Apr-29 22:46 |
libsymmetrica-3.0.1-r2.apk | 4.0 MiB | 2024-Oct-25 23:06 |
libsymmetrica-dev-3.0.1-r2.apk | 32.0 KiB | 2024-Oct-25 23:06 |
libsymmetrica-static-3.0.1-r2.apk | 4.5 MiB | 2024-Oct-25 23:06 |
libtins-4.5-r1.apk | 295.6 KiB | 2024-Oct-25 23:06 |
libtins-dev-4.5-r1.apk | 141.3 KiB | 2024-Oct-25 23:06 |
libtins-doc-4.5-r1.apk | 2.3 KiB | 2024-Oct-25 23:06 |
libtommath-1.2.1-r1.apk | 34.9 KiB | 2025-May-25 10:49 |
libtommath-dev-1.2.1-r1.apk | 60.1 KiB | 2025-May-25 10:49 |
libtsm-4.1.0-r0.apk | 24.7 KiB | 2025-Jul-08 09:01 |
libtsm-dev-4.1.0-r0.apk | 11.0 KiB | 2025-Jul-08 09:01 |
libucl-0.9.0-r0.apk | 51.5 KiB | 2024-Oct-25 23:06 |
libucl-dev-0.9.0-r0.apk | 77.5 KiB | 2024-Oct-25 23:06 |
libucl-doc-0.9.0-r0.apk | 8.8 KiB | 2024-Oct-25 23:06 |
libuecc-7-r4.apk | 8.9 KiB | 2025-Mar-03 19:08 |
libuecc-dev-7-r4.apk | 4.6 KiB | 2025-Mar-03 19:08 |
libuninameslist-20230916-r0.apk | 425.2 KiB | 2024-Oct-25 23:06 |
libuninameslist-dev-20230916-r0.apk | 3.4 KiB | 2024-Oct-25 23:06 |
libuninameslist-doc-20230916-r0.apk | 2.0 KiB | 2024-Oct-25 23:06 |
libupstart-2.0.3-r5.apk | 72.4 KiB | 2024-Oct-25 23:06 |
libvdpau-va-gl-0.4.2-r0.apk | 51.3 KiB | 2024-Oct-25 23:06 |
libvisio2svg-0.5.5-r3.apk | 13.4 KiB | 2024-Oct-25 23:06 |
libvisio2svg-dev-0.5.5-r3.apk | 2.9 KiB | 2024-Oct-25 23:06 |
libvisio2svg-utils-0.5.5-r3.apk | 109.4 KiB | 2024-Oct-25 23:06 |
libvmaf-3.0.0-r0.apk | 324.5 KiB | 2024-Oct-25 23:06 |
libvmaf-dev-3.0.0-r0.apk | 189.6 KiB | 2024-Oct-25 23:06 |
libvoikko-4.3.2-r1.apk | 116.3 KiB | 2024-Oct-25 23:06 |
libvoikko-dev-4.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 23:06 |
libvoikko-doc-4.3.2-r1.apk | 5.7 KiB | 2024-Oct-25 23:06 |
libwasmtime-34.0.1-r0.apk | 3.0 MiB | 2025-Jul-24 04:27 |
libwasmtime-static-34.0.1-r0.apk | 5.8 MiB | 2025-Jul-24 04:27 |
libwbxml-0.11.8-r0.apk | 61.5 KiB | 2024-Oct-25 23:06 |
libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2024-Oct-25 23:06 |
libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2024-Oct-25 23:06 |
libwhich-1.2.0-r0.apk | 4.7 KiB | 2024-Oct-25 23:06 |
libwmiclient-1.3.16-r5.apk | 1.5 KiB | 2024-Oct-25 23:06 |
libwmiclient-dev-1.3.16-r5.apk | 1.7 KiB | 2024-Oct-25 23:06 |
libxml++-5.4.0-r0.apk | 61.1 KiB | 2025-Feb-13 10:45 |
libxml++-dev-5.4.0-r0.apk | 29.4 KiB | 2025-Feb-13 10:45 |
libxo-1.7.5-r0.apk | 175.1 KiB | 2025-Jan-13 01:45 |
libxo-dev-1.7.5-r0.apk | 71.8 KiB | 2025-Jan-13 01:45 |
libxo-doc-1.7.5-r0.apk | 62.8 KiB | 2025-Jan-13 01:45 |
libzn_poly-0.9.2-r2.apk | 42.7 KiB | 2024-Oct-25 23:06 |
libzn_poly-dev-0.9.2-r2.apk | 8.1 KiB | 2024-Oct-25 23:06 |
libzn_poly-static-0.9.2-r2.apk | 45.3 KiB | 2024-Oct-25 23:06 |
libzrtpcpp-4.7.0-r0.apk | 163.8 KiB | 2025-Jan-05 00:55 |
libzrtpcpp-dev-4.7.0-r0.apk | 37.9 KiB | 2025-Jan-05 00:55 |
libzvbi-0.2.44-r0.apk | 221.7 KiB | 2025-Mar-11 23:40 |
libzvbi-dev-0.2.44-r0.apk | 14.3 KiB | 2025-Mar-11 23:40 |
libzvbi-static-0.2.44-r0.apk | 273.8 KiB | 2025-Mar-11 23:40 |
licenseheaders-0.8.8-r4.apk | 17.9 KiB | 2024-Oct-25 23:06 |
licenseheaders-pyc-0.8.8-r4.apk | 18.4 KiB | 2024-Oct-25 23:06 |
limnoria-20240828-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
limnoria-doc-20240828-r0.apk | 8.4 KiB | 2024-Oct-25 23:06 |
limnoria-pyc-20240828-r0.apk | 1.2 MiB | 2024-Oct-25 23:06 |
linkchecker-10.5.0-r0.apk | 181.0 KiB | 2025-May-19 02:04 |
linkchecker-doc-10.5.0-r0.apk | 39.1 KiB | 2025-May-19 02:04 |
linkchecker-pyc-10.5.0-r0.apk | 253.7 KiB | 2025-May-19 02:04 |
linphone-5.3.38-r0.apk | 9.0 MiB | 2024-Oct-25 23:06 |
linphone-dev-5.3.38-r0.apk | 249.7 KiB | 2024-Oct-25 23:06 |
linphone-libs-5.3.38-r0.apk | 2.6 MiB | 2024-Oct-25 23:06 |
linux-apfs-rw-src-0.3.8-r0.apk | 197.0 KiB | 2024-Oct-25 23:06 |
linux-timemachine-1.3.2-r0.apk | 5.1 KiB | 2024-Oct-25 23:06 |
linuxptp-4.4-r0.apk | 1.2 KiB | 2024-Nov-20 01:34 |
linuxptp-doc-4.4-r0.apk | 38.4 KiB | 2024-Nov-20 01:34 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4.4 KiB | 2024-Nov-20 01:34 |
linuxptp-nsm-4.4-r0.apk | 35.3 KiB | 2024-Nov-20 01:34 |
linuxptp-phc2sys-4.4-r0.apk | 40.3 KiB | 2024-Nov-20 01:34 |
linuxptp-phc_ctl-4.4-r0.apk | 10.8 KiB | 2024-Nov-20 01:34 |
linuxptp-pmc-4.4-r0.apk | 38.6 KiB | 2024-Nov-20 01:34 |
linuxptp-ptp4l-4.4-r0.apk | 83.4 KiB | 2024-Nov-20 01:34 |
linuxptp-timemaster-4.4-r0.apk | 15.4 KiB | 2024-Nov-20 01:34 |
linuxptp-ts2phc-4.4-r0.apk | 39.2 KiB | 2024-Nov-20 01:34 |
linuxptp-tz2alt-4.4-r0.apk | 20.9 KiB | 2024-Nov-20 01:34 |
liquibase-4.9.1-r0.apk | 31.6 MiB | 2024-Oct-25 23:06 |
liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2024-Oct-25 23:06 |
litehtml-0.9-r2.apk | 281.0 KiB | 2025-Feb-17 15:07 |
litehtml-dev-0.9-r2.apk | 41.6 KiB | 2025-Feb-17 15:07 |
litehtml-static-0.9-r2.apk | 485.0 KiB | 2025-Feb-17 15:07 |
litterbox-1.9-r1.apk | 31.5 KiB | 2024-Oct-25 23:06 |
litterbox-doc-1.9-r1.apk | 7.2 KiB | 2024-Oct-25 23:06 |
lizardfs-3.13.0-r17.apk | 104.2 KiB | 2025-Jun-19 11:34 |
lizardfs-bash-completion-3.13.0-r17.apk | 1.9 KiB | 2025-Jun-19 11:34 |
lizardfs-cgi-3.13.0-r17.apk | 31.5 KiB | 2025-Jun-19 11:34 |
lizardfs-cgiserv-3.13.0-r17.apk | 7.4 KiB | 2025-Jun-19 11:34 |
lizardfs-cgiserv-openrc-3.13.0-r17.apk | 2.0 KiB | 2025-Jun-19 11:34 |
lizardfs-chunkserver-3.13.0-r17.apk | 295.2 KiB | 2025-Jun-19 11:34 |
lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1.7 KiB | 2025-Jun-19 11:34 |
lizardfs-client-3.13.0-r17.apk | 1011.6 KiB | 2025-Jun-19 11:34 |
lizardfs-doc-3.13.0-r17.apk | 11.4 KiB | 2025-Jun-19 11:34 |
lizardfs-master-3.13.0-r17.apk | 774.3 KiB | 2025-Jun-19 11:34 |
lizardfs-master-openrc-3.13.0-r17.apk | 1.6 KiB | 2025-Jun-19 11:34 |
lizardfs-metalogger-3.13.0-r17.apk | 121.6 KiB | 2025-Jun-19 11:34 |
lizardfs-metalogger-openrc-3.13.0-r17.apk | 1.6 KiB | 2025-Jun-19 11:34 |
lkrg-0.9.6-r1.apk | 104.7 KiB | 2025-Jan-09 14:44 |
lkrg-doc-0.9.6-r1.apk | 21.6 KiB | 2025-Jan-09 14:44 |
lld21-21.1.0_rc1-r1.apk | 10.9 KiB | 2025-Jul-24 04:27 |
lld21-dbg-21.1.0_rc1-r1.apk | 5.2 MiB | 2025-Jul-24 04:27 |
lld21-dev-21.1.0_rc1-r1.apk | 18.4 KiB | 2025-Jul-24 04:27 |
lld21-doc-21.1.0_rc1-r1.apk | 11.6 KiB | 2025-Jul-24 04:27 |
lld21-libs-21.1.0_rc1-r1.apk | 2.5 MiB | 2025-Jul-24 04:27 |
llmnrd-0.7-r1.apk | 17.5 KiB | 2024-Oct-25 23:06 |
llmnrd-doc-0.7-r1.apk | 3.0 KiB | 2024-Oct-25 23:06 |
llmnrd-openrc-0.7-r1.apk | 1.9 KiB | 2024-Oct-25 23:06 |
llvm21-21.1.0_rc1-r1.apk | 19.1 MiB | 2025-Jul-24 04:27 |
llvm21-dev-21.1.0_rc1-r1.apk | 6.0 MiB | 2025-Jul-24 04:27 |
llvm21-gtest-21.1.0_rc1-r1.apk | 599.5 KiB | 2025-Jul-24 04:27 |
llvm21-libs-21.1.0_rc1-r1.apk | 40.7 MiB | 2025-Jul-24 04:27 |
llvm21-linker-tools-21.1.0_rc1-r1.apk | 65.8 KiB | 2025-Jul-24 04:27 |
llvm21-static-21.1.0_rc1-r1.apk | 72.1 MiB | 2025-Jul-24 04:27 |
llvm21-test-utils-21.1.0_rc1-r1.apk | 418.4 KiB | 2025-Jul-24 04:27 |
llvm21-test-utils-pyc-21.1.0_rc1-r1.apk | 155.1 KiB | 2025-Jul-24 04:27 |
lockrun-1.1.3-r1.apk | 5.3 KiB | 2024-Oct-25 23:06 |
log4cpp-1.1.4-r1.apk | 69.0 KiB | 2024-Oct-25 23:06 |
log4cpp-dev-1.1.4-r1.apk | 38.9 KiB | 2024-Oct-25 23:06 |
log4cxx-1.1.0-r3.apk | 471.1 KiB | 2025-Feb-17 15:07 |
log4cxx-dev-1.1.0-r3.apk | 135.2 KiB | 2025-Feb-17 15:07 |
logc-0.5.0-r1.apk | 7.3 KiB | 2025-Jun-13 17:20 |
logc-argp-0.5.0-r1.apk | 14.9 KiB | 2025-Jun-13 17:20 |
logc-config-0.5.0-r1.apk | 4.8 KiB | 2025-Jun-13 17:20 |
logc-czmq-0.1.0-r0.apk | 3.9 KiB | 2024-Oct-25 23:06 |
logc-dev-0.5.0-r1.apk | 8.6 KiB | 2025-Jun-13 17:20 |
logc-libevent-0.1.0-r0.apk | 3.3 KiB | 2024-Oct-25 23:06 |
logc-libs-0.1.0-r0.apk | 1.4 KiB | 2024-Oct-25 23:06 |
logc-libs-dev-0.1.0-r0.apk | 5.4 KiB | 2024-Oct-25 23:06 |
logtop-0.7-r0.apk | 12.0 KiB | 2024-Oct-25 23:06 |
logtop-doc-0.7-r0.apk | 2.8 KiB | 2024-Oct-25 23:06 |
logtop-libs-0.7-r0.apk | 13.0 KiB | 2024-Oct-25 23:06 |
logwatch-7.10-r1.apk | 483.5 KiB | 2024-Oct-25 23:06 |
logwatch-doc-7.10-r1.apk | 38.1 KiB | 2024-Oct-25 23:06 |
lol-html-1.1.1-r1.apk | 442.4 KiB | 2024-Oct-25 23:06 |
lol-html-dev-1.1.1-r1.apk | 6.5 KiB | 2024-Oct-25 23:06 |
lolcat-1.4-r0.apk | 9.7 KiB | 2024-Oct-25 23:06 |
lomiri-action-api-1.2.0-r0.apk | 73.8 KiB | 2025-Apr-11 08:45 |
lomiri-action-api-dev-1.2.0-r0.apk | 5.0 KiB | 2025-Apr-11 08:45 |
lomiri-api-0.2.2-r1.apk | 31.1 KiB | 2025-Feb-17 15:07 |
lomiri-api-dev-0.2.2-r1.apk | 32.1 KiB | 2025-Feb-17 15:07 |
lomiri-app-launch-0.1.12-r1.apk | 313.1 KiB | 2025-Jun-28 20:13 |
lomiri-app-launch-dev-0.1.12-r1.apk | 20.1 KiB | 2025-Jun-28 20:13 |
lomiri-download-manager-0.2.1-r0.apk | 535.3 KiB | 2025-Apr-14 02:28 |
lomiri-download-manager-dev-0.2.1-r0.apk | 16.9 KiB | 2025-Apr-14 02:28 |
lomiri-download-manager-doc-0.2.1-r0.apk | 2.9 MiB | 2025-Apr-14 02:28 |
lomiri-download-manager-lang-0.2.1-r0.apk | 29.6 KiB | 2025-Apr-14 02:28 |
lomiri-history-service-0.6-r8.apk | 326.7 KiB | 2025-Jul-03 18:27 |
lomiri-history-service-dev-0.6-r8.apk | 11.1 KiB | 2025-Jul-03 18:27 |
lomiri-location-service-3.3.0-r4.apk | 2.0 MiB | 2025-Jun-26 16:53 |
lomiri-location-service-dev-3.3.0-r4.apk | 29.8 KiB | 2025-Jun-26 16:53 |
lomiri-location-service-doc-3.3.0-r4.apk | 2.9 KiB | 2025-Jun-26 16:53 |
lomiri-location-service-lang-3.3.0-r4.apk | 25.8 KiB | 2025-Jun-26 16:53 |
lomiri-notifications-1.3.1-r0.apk | 88.0 KiB | 2025-Jan-10 13:05 |
lomiri-schemas-0.1.8-r1.apk | 10.4 KiB | 2025-Jun-28 20:13 |
lomiri-settings-components-1.1.2-r0.apk | 217.8 KiB | 2025-Jan-10 13:05 |
lomiri-settings-components-lang-1.1.2-r0.apk | 97.8 KiB | 2025-Jan-10 13:05 |
lomiri-sounds-22.02-r1.apk | 18.0 MiB | 2025-Mar-13 09:01 |
lomiri-thumbnailer-3.0.4-r2.apk | 205.4 KiB | 2025-Feb-17 15:07 |
lomiri-thumbnailer-dev-3.0.4-r2.apk | 5.0 KiB | 2025-Feb-17 15:07 |
lomiri-thumbnailer-doc-3.0.4-r2.apk | 1.5 KiB | 2025-Feb-17 15:07 |
lomiri-trust-store-2.0.2-r10.apk | 911.6 KiB | 2025-Jun-28 20:13 |
lomiri-trust-store-dev-2.0.2-r10.apk | 9.1 KiB | 2025-Jun-28 20:13 |
lomiri-trust-store-lang-2.0.2-r10.apk | 27.7 KiB | 2025-Jun-28 20:13 |
lomiri-url-dispatcher-0.1.4-r0.apk | 36.3 KiB | 2025-May-15 00:14 |
lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3.3 KiB | 2025-May-15 00:14 |
lomiri-url-dispatcher-lang-0.1.4-r0.apk | 25.9 KiB | 2025-May-15 00:14 |
lotide-0.15.0-r0.apk | 3.6 MiB | 2024-Oct-25 23:06 |
lotide-openrc-0.15.0-r0.apk | 3.1 KiB | 2024-Oct-25 23:06 |
lout-3.42.2-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
lout-doc-3.42.2-r0.apk | 452.5 KiB | 2024-Oct-25 23:06 |
lowjs-1.6.2-r2.apk | 1.3 MiB | 2024-Oct-25 23:06 |
lowjs-doc-1.6.2-r2.apk | 3.0 KiB | 2024-Oct-25 23:06 |
lrcalc-2.1-r1.apk | 10.8 KiB | 2024-Oct-25 23:06 |
lrcalc-dev-2.1-r1.apk | 11.3 KiB | 2024-Oct-25 23:06 |
lrcalc-libs-2.1-r1.apk | 23.0 KiB | 2024-Oct-25 23:06 |
lsdvd-0.17-r0.apk | 13.3 KiB | 2024-Oct-25 23:06 |
lsdvd-doc-0.17-r0.apk | 2.5 KiB | 2024-Oct-25 23:06 |
lshell-0.9.18-r11.apk | 36.5 KiB | 2024-Oct-25 23:06 |
lshell-doc-0.9.18-r11.apk | 25.2 KiB | 2024-Oct-25 23:06 |
lshell-pyc-0.9.18-r11.apk | 35.3 KiB | 2024-Oct-25 23:06 |
lsix-1.8.2-r0.apk | 6.5 KiB | 2024-Oct-25 23:06 |
lsmash-2.14.5-r2.apk | 273.1 KiB | 2024-Oct-25 23:06 |
lsmash-dev-2.14.5-r2.apk | 341.9 KiB | 2024-Oct-25 23:06 |
lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2024-Oct-25 23:06 |
lua-fn-0.1.0-r0.apk | 3.4 KiB | 2024-Oct-25 23:06 |
lua-inet-0.2.0-r1.apk | 9.1 KiB | 2024-Oct-25 23:06 |
lua-lanes-3.16.0-r1.apk | 1.4 KiB | 2024-Oct-25 23:06 |
lua-lcurses-9.0.0-r0.apk | 1.2 KiB | 2024-Oct-25 23:06 |
lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2024-Oct-25 23:06 |
lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2024-Oct-25 23:06 |
lua-linenoise-0.9-r1.apk | 1.2 KiB | 2024-Oct-25 23:06 |
lua-luastatic-0.0.12-r1.apk | 1.5 KiB | 2024-Oct-25 23:06 |
lua-lupa-1.0-r0.apk | 19.6 KiB | 2024-Oct-25 23:06 |
lua-lut-1.2.1-r0.apk | 88.7 KiB | 2024-Oct-25 23:06 |
lua-psl-0.3-r0.apk | 1.1 KiB | 2024-Oct-25 23:06 |
lua-resty-redis-0.29-r0.apk | 5.3 KiB | 2024-Oct-25 23:06 |
lua-resty-upload-0.11-r0.apk | 3.6 KiB | 2024-Oct-25 23:06 |
lua-xml-1.1.3-r2.apk | 1.4 KiB | 2024-Oct-25 23:06 |
lua5.1-lanes-3.16.0-r1.apk | 59.1 KiB | 2024-Oct-25 23:06 |
lua5.1-lcurses-9.0.0-r0.apk | 22.3 KiB | 2024-Oct-25 23:06 |
lua5.1-libguestfs-1.56.1-r0.apk | 77.1 KiB | 2025-Jul-24 04:27 |
lua5.1-libmodbus-0.6.1-r0.apk | 8.9 KiB | 2024-Oct-25 23:06 |
lua5.1-linenoise-0.9-r1.apk | 15.8 KiB | 2024-Oct-25 23:06 |
lua5.1-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 23:06 |
lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2024-Oct-25 23:06 |
lua5.1-luastatic-0.0.12-r1.apk | 68.1 KiB | 2024-Oct-25 23:06 |
lua5.1-psl-0.3-r0.apk | 5.4 KiB | 2024-Oct-25 23:06 |
lua5.1-xml-1.1.3-r2.apk | 22.1 KiB | 2024-Oct-25 23:06 |
lua5.2-editorconfig-0.3.0-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
lua5.2-lanes-3.16.0-r1.apk | 58.9 KiB | 2024-Oct-25 23:06 |
lua5.2-libmodbus-0.6.1-r0.apk | 8.9 KiB | 2024-Oct-25 23:06 |
lua5.2-linenoise-0.9-r1.apk | 15.9 KiB | 2024-Oct-25 23:06 |
lua5.2-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 23:06 |
lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 23:06 |
lua5.2-luastatic-0.0.12-r1.apk | 8.8 KiB | 2024-Oct-25 23:06 |
lua5.2-psl-0.3-r0.apk | 5.3 KiB | 2024-Oct-25 23:06 |
lua5.2-xml-1.1.3-r2.apk | 22.0 KiB | 2024-Oct-25 23:06 |
lua5.3-editorconfig-0.3.0-r0.apk | 4.2 KiB | 2024-Oct-25 23:06 |
lua5.3-lanes-3.16.0-r1.apk | 60.2 KiB | 2024-Oct-25 23:06 |
lua5.3-linenoise-0.9-r1.apk | 15.9 KiB | 2024-Oct-25 23:06 |
lua5.3-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 23:06 |
lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2024-Oct-25 23:06 |
lua5.3-luastatic-0.0.12-r1.apk | 8.8 KiB | 2024-Oct-25 23:06 |
lua5.3-psl-0.3-r0.apk | 5.3 KiB | 2024-Oct-25 23:06 |
lua5.4-editorconfig-0.3.0-r0.apk | 4.2 KiB | 2024-Oct-25 23:06 |
lua5.4-lanes-3.16.0-r1.apk | 59.7 KiB | 2024-Oct-25 23:06 |
lua5.4-linenoise-0.9-r1.apk | 15.9 KiB | 2024-Oct-25 23:06 |
lua5.4-luacov-0.15.0-r0.apk | 23.3 KiB | 2024-Oct-25 23:06 |
lua5.4-luastatic-0.0.12-r1.apk | 8.9 KiB | 2024-Oct-25 23:06 |
luacov-0.15.0-r0.apk | 1.4 KiB | 2024-Oct-25 23:06 |
luacov-html-1.0.0-r1.apk | 1.2 KiB | 2024-Oct-25 23:06 |
luapak-0.1.0_beta5-r0.apk | 35.3 KiB | 2024-Oct-25 23:06 |
luksmeta-9-r0.apk | 12.5 KiB | 2024-Oct-25 23:06 |
luksmeta-dev-9-r0.apk | 3.1 KiB | 2024-Oct-25 23:06 |
luksmeta-doc-9-r0.apk | 5.5 KiB | 2024-Oct-25 23:06 |
lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2024-Oct-25 23:06 |
lumina-desktop-archiver-1.6.2-r0.apk | 156.9 KiB | 2024-Oct-25 23:06 |
lumina-desktop-core-1.6.2-r0.apk | 8.8 MiB | 2024-Oct-25 23:06 |
lumina-desktop-coreutils-1.6.2-r0.apk | 786.1 KiB | 2024-Oct-25 23:06 |
lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2024-Oct-25 23:06 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 150.3 KiB | 2024-Oct-25 23:06 |
lumina-desktop-fm-1.6.2-r0.apk | 373.0 KiB | 2024-Oct-25 23:06 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 190.1 KiB | 2024-Oct-25 23:06 |
lumina-desktop-photo-1.6.2-r0.apk | 117.2 KiB | 2024-Oct-25 23:06 |
lumina-desktop-screenshot-1.6.2-r0.apk | 155.9 KiB | 2024-Oct-25 23:06 |
lumina-desktop-sudo-1.6.2-r0.apk | 89.6 KiB | 2024-Oct-25 23:06 |
lumina-desktop-textedit-1.6.2-r0.apk | 181.4 KiB | 2024-Oct-25 23:06 |
lumins-0.4.0-r2.apk | 665.4 KiB | 2024-Oct-25 23:06 |
lutgen-1.0.0-r0.apk | 1.9 MiB | 2025-Jul-24 04:27 |
lutgen-bash-completion-1.0.0-r0.apk | 1.7 KiB | 2025-Jul-24 04:27 |
lutgen-doc-1.0.0-r0.apk | 4.5 KiB | 2025-Jul-24 04:27 |
lutgen-fish-completion-1.0.0-r0.apk | 1.8 KiB | 2025-Jul-24 04:27 |
lutgen-zsh-completion-1.0.0-r0.apk | 1.7 KiB | 2025-Jul-24 04:27 |
lutris-0.5.19-r0.apk | 819.2 KiB | 2025-May-26 14:11 |
lutris-doc-0.5.19-r0.apk | 2.3 KiB | 2025-May-26 14:11 |
lutris-lang-0.5.19-r0.apk | 810.5 KiB | 2025-May-26 14:11 |
lutris-pyc-0.5.19-r0.apk | 1.1 MiB | 2025-May-26 14:11 |
lxappearance-0.6.3-r3.apk | 28.7 KiB | 2024-Oct-25 23:06 |
lxappearance-dev-0.6.3-r3.apk | 3.2 KiB | 2024-Oct-25 23:06 |
lxappearance-doc-0.6.3-r3.apk | 2.6 KiB | 2024-Oct-25 23:06 |
lxappearance-lang-0.6.3-r3.apk | 80.5 KiB | 2024-Oct-25 23:06 |
lxd-5.0.3-r12.apk | 14.6 MiB | 2025-Jul-10 05:28 |
lxd-bash-completion-5.0.3-r12.apk | 5.1 KiB | 2025-Jul-10 05:28 |
lxd-client-5.0.3-r12.apk | 6.3 MiB | 2025-Jul-10 05:28 |
lxd-feature-5.20-r12.apk | 68.6 MiB | 2025-Jul-10 05:28 |
lxd-feature-bash-completion-5.20-r12.apk | 5.1 KiB | 2025-Jul-10 05:28 |
lxd-feature-doc-5.20-r12.apk | 1.7 KiB | 2025-Jul-10 05:28 |
lxd-feature-openrc-5.20-r12.apk | 2.4 KiB | 2025-Jul-10 05:28 |
lxd-feature-scripts-5.20-r12.apk | 2.1 KiB | 2025-Jul-10 05:28 |
lxd-openrc-5.0.3-r12.apk | 2.5 KiB | 2025-Jul-10 05:28 |
lxd-scripts-5.0.3-r12.apk | 25.6 MiB | 2025-Jul-10 05:28 |
lxd-vm-5.0.3-r12.apk | 1.3 KiB | 2025-Jul-10 05:28 |
lynis-3.1.1-r0.apk | 271.2 KiB | 2024-Oct-25 23:06 |
lynis-bash-completion-3.1.1-r0.apk | 3.0 KiB | 2024-Oct-25 23:06 |
lynis-doc-3.1.1-r0.apk | 49.1 KiB | 2024-Oct-25 23:06 |
lyrics-in-terminal-1.7.0-r0.apk | 38.1 KiB | 2025-Jan-03 13:09 |
lzfse-1.0-r0.apk | 21.6 KiB | 2024-Oct-25 23:06 |
lzfse-dev-1.0-r0.apk | 3.4 KiB | 2024-Oct-25 23:06 |
m2r2-0.3.3-r3.apk | 12.5 KiB | 2024-Oct-25 23:06 |
m2r2-pyc-0.3.3-r3.apk | 15.6 KiB | 2024-Oct-25 23:06 |
ma1sd-2.5.0-r3.apk | 38.1 MiB | 2024-Oct-25 23:06 |
ma1sd-openrc-2.5.0-r3.apk | 2.0 KiB | 2024-Oct-25 23:06 |
macchina-6.4.0-r0.apk | 1013.6 KiB | 2025-Jul-24 04:27 |
macchina-doc-6.4.0-r0.apk | 5.7 KiB | 2025-Jul-24 04:27 |
mage-1.13.0-r23.apk | 1.5 MiB | 2025-May-15 00:14 |
maildir2rss-0.0.7-r6.apk | 3.5 MiB | 2025-Jul-10 05:28 |
mailsec-check-0_git20210729-r27.apk | 2.6 MiB | 2025-Jul-10 05:28 |
makeclapman-2.4.4-r6.apk | 1.3 MiB | 2025-Jul-10 05:28 |
makeclapman-doc-2.4.4-r6.apk | 4.2 KiB | 2025-Jul-10 05:28 |
makedumpfile-1.7.7-r0.apk | 171.3 KiB | 2025-Apr-23 00:28 |
makedumpfile-doc-1.7.7-r0.apk | 23.7 KiB | 2025-Apr-23 00:28 |
makedumpfile-openrc-1.7.7-r0.apk | 2.9 KiB | 2025-Apr-23 00:28 |
makeself-2.5.0-r0.apk | 13.0 KiB | 2024-Oct-25 23:06 |
malcontent-0.12.0-r0.apk | 146.3 KiB | 2025-May-15 00:14 |
malcontent-dev-0.12.0-r0.apk | 23.9 KiB | 2025-May-15 00:14 |
malcontent-doc-0.12.0-r0.apk | 44.7 KiB | 2025-May-15 00:14 |
mame-0.251-r0.apk | 88.1 MiB | 2024-Oct-25 23:06 |
mame-arcade-0.251-r0.apk | 60.8 MiB | 2024-Oct-25 23:06 |
mame-common-0.251-r0.apk | 2.7 KiB | 2024-Oct-25 23:06 |
mame-data-0.251-r0.apk | 19.1 MiB | 2024-Oct-25 23:06 |
mame-doc-0.251-r0.apk | 24.1 KiB | 2024-Oct-25 23:06 |
mame-lang-0.251-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
mame-mess-0.251-r0.apk | 47.3 MiB | 2024-Oct-25 23:06 |
mame-plugins-0.251-r0.apk | 166.3 KiB | 2024-Oct-25 23:06 |
mame-tools-0.251-r0.apk | 2.4 MiB | 2024-Oct-25 23:06 |
mangal-4.0.6-r19.apk | 10.1 MiB | 2025-Jul-10 05:28 |
mangal-bash-completion-4.0.6-r19.apk | 5.0 KiB | 2025-Jul-10 05:28 |
mangal-fish-completion-4.0.6-r19.apk | 3.9 KiB | 2025-Jul-10 05:28 |
mangal-zsh-completion-4.0.6-r19.apk | 4.0 KiB | 2025-Jul-10 05:28 |
mangr0ve-0.1.2-r0.apk | 2.8 KiB | 2024-Oct-25 23:06 |
mangr0ve-doc-0.1.2-r0.apk | 14.4 KiB | 2024-Oct-25 23:06 |
manifest-tool-2.2.0-r2.apk | 3.8 MiB | 2025-Jul-10 05:28 |
mapnik-4.0.6-r1.apk | 11.2 MiB | 2025-Jun-10 13:27 |
mapnik-dev-4.0.6-r1.apk | 485.5 KiB | 2025-Jun-10 13:27 |
mapnik-doc-4.0.6-r1.apk | 141.6 KiB | 2025-Jun-10 13:27 |
mapserver-8.4.0-r2.apk | 1.3 MiB | 2025-Jun-10 13:27 |
mapserver-dev-8.4.0-r2.apk | 539.9 KiB | 2025-Jun-10 13:27 |
marxan-4.0.7-r1.apk | 520.0 KiB | 2024-Oct-25 23:06 |
masky-0.2.0-r2.apk | 276.9 KiB | 2025-May-29 14:57 |
masky-pyc-0.2.0-r2.apk | 63.7 KiB | 2025-May-29 14:57 |
mat2-0.13.4-r3.apk | 35.4 KiB | 2024-Oct-25 23:06 |
mat2-doc-0.13.4-r3.apk | 7.7 KiB | 2024-Oct-25 23:06 |
mat2-pyc-0.13.4-r3.apk | 53.9 KiB | 2024-Oct-25 23:06 |
materia-20210322-r3.apk | 1.7 KiB | 2025-Jul-15 11:22 |
materia-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-15 11:22 |
materia-compact-20210322-r3.apk | 1.7 KiB | 2025-Jul-15 11:22 |
materia-compact-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-15 11:22 |
materia-compact-gnome-shell-20210322-r3.apk | 29.3 KiB | 2025-Jul-15 11:22 |
materia-compact-gtk2-20210322-r3.apk | 34.6 KiB | 2025-Jul-15 11:22 |
materia-compact-gtk3-20210322-r3.apk | 62.9 KiB | 2025-Jul-15 11:22 |
materia-compact-gtk4-20210322-r3.apk | 42.6 KiB | 2025-Jul-15 11:22 |
materia-dark-20210322-r3.apk | 1.7 KiB | 2025-Jul-15 11:22 |
materia-dark-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-20210322-r3.apk | 1.7 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-chromium-20210322-r3.apk | 5.7 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-gnome-shell-20210322-r3.apk | 29.3 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-gtk2-20210322-r3.apk | 34.5 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-gtk3-20210322-r3.apk | 39.6 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-gtk4-20210322-r3.apk | 29.4 KiB | 2025-Jul-15 11:22 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 23:06 |
materia-dark-gnome-shell-20210322-r3.apk | 29.2 KiB | 2025-Jul-15 11:22 |
materia-dark-gtk2-20210322-r3.apk | 34.5 KiB | 2025-Jul-15 11:22 |
materia-dark-gtk3-20210322-r3.apk | 39.6 KiB | 2025-Jul-15 11:22 |
materia-dark-gtk4-20210322-r3.apk | 29.4 KiB | 2025-Jul-15 11:22 |
materia-dark-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 23:06 |
materia-dark-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 23:06 |
materia-dark-kde-plasma-20220823-r0.apk | 502.9 KiB | 2024-Oct-25 23:06 |
materia-dark-kde-yakuake-20220823-r0.apk | 21.7 KiB | 2024-Oct-25 23:06 |
materia-gnome-shell-20210322-r3.apk | 29.2 KiB | 2025-Jul-15 11:22 |
materia-gtk-theme-20210322-r3.apk | 2.9 KiB | 2025-Jul-15 11:22 |
materia-gtk2-20210322-r3.apk | 34.6 KiB | 2025-Jul-15 11:22 |
materia-gtk3-20210322-r3.apk | 63.0 KiB | 2025-Jul-15 11:22 |
materia-gtk4-20210322-r3.apk | 42.7 KiB | 2025-Jul-15 11:22 |
materia-kde-20220823-r0.apk | 19.3 KiB | 2024-Oct-25 23:06 |
materia-kde-konsole-20220823-r0.apk | 1.8 KiB | 2024-Oct-25 23:06 |
materia-kde-kvantum-20220823-r0.apk | 30.0 KiB | 2024-Oct-25 23:06 |
materia-kde-plasma-20220823-r0.apk | 1.7 MiB | 2024-Oct-25 23:06 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1.5 KiB | 2024-Oct-25 23:06 |
materia-light-kde-kvantum-20220823-r0.apk | 29.6 KiB | 2024-Oct-25 23:06 |
materia-light-kde-plasma-20220823-r0.apk | 20.1 KiB | 2024-Oct-25 23:06 |
materia-light-kde-yakuake-20220823-r0.apk | 21.5 KiB | 2024-Oct-25 23:06 |
maxima-5.47.0-r9.apk | 21.1 MiB | 2025-Jul-08 00:34 |
maxima-bash-completion-5.47.0-r9.apk | 2.3 KiB | 2025-Jul-08 00:34 |
maxima-doc-5.47.0-r9.apk | 760.3 KiB | 2025-Jul-08 00:34 |
maxima-doc-extra-5.47.0-r9.apk | 9.4 MiB | 2025-Jul-08 00:34 |
maxima-emacs-5.47.0-r9.apk | 110.7 KiB | 2025-Jul-08 00:34 |
mbrola-3.3-r0.apk | 19.6 KiB | 2024-Oct-25 23:06 |
mcjoin-2.11-r0.apk | 22.2 KiB | 2024-Oct-25 23:06 |
mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2024-Oct-25 23:06 |
mcqd-1.0.0-r1.apk | 11.5 KiB | 2024-Oct-25 23:06 |
mcqd-dev-1.0.0-r1.apk | 4.0 KiB | 2024-Oct-25 23:06 |
md5ha1-0_git20171202-r1.apk | 9.1 KiB | 2024-Oct-25 23:06 |
mdbook-admonish-1.20.0-r0.apk | 1.0 MiB | 2025-Jun-16 23:31 |
mdbook-alerts-0.7.0-r0.apk | 759.5 KiB | 2025-Jan-11 15:08 |
mdbook-katex-0.9.4-r0.apk | 1.2 MiB | 2025-May-17 13:45 |
mdbook-linkcheck-0.7.7-r0.apk | 2.7 MiB | 2025-May-16 22:17 |
mdbook-mermaid-0.15.0-r0.apk | 1.7 MiB | 2025-May-18 00:51 |
mdbook-plantuml-0.8.0-r0.apk | 934.1 KiB | 2024-Oct-25 23:06 |
mdcat-2.7.1-r0.apk | 3.1 MiB | 2024-Dec-14 21:04 |
mdcat-bash-completion-2.7.1-r0.apk | 2.2 KiB | 2024-Dec-14 21:04 |
mdcat-doc-2.7.1-r0.apk | 6.0 KiB | 2024-Dec-14 21:04 |
mdcat-fish-completion-2.7.1-r0.apk | 2.1 KiB | 2024-Dec-14 21:04 |
mdcat-zsh-completion-2.7.1-r0.apk | 2.4 KiB | 2024-Dec-14 21:04 |
mdnsd-0.12-r1.apk | 22.5 KiB | 2024-Oct-25 23:06 |
mdnsd-doc-0.12-r1.apk | 14.4 KiB | 2024-Oct-25 23:06 |
mdnsd-libs-0.12-r1.apk | 16.9 KiB | 2024-Oct-25 23:06 |
mdnsd-openrc-0.12-r1.apk | 2.1 KiB | 2024-Oct-25 23:06 |
mdnsd-static-0.12-r1.apk | 16.9 KiB | 2024-Oct-25 23:06 |
mdp-1.0.18-r0.apk | 15.7 KiB | 2025-Jul-10 05:28 |
mdp-doc-1.0.18-r0.apk | 3.9 KiB | 2025-Jul-10 05:28 |
mediascanner2-0.115-r3.apk | 239.1 KiB | 2025-Mar-13 09:01 |
mediastreamer2-5.3.100-r1.apk | 338.7 KiB | 2025-Jun-01 00:03 |
mediastreamer2-dev-5.3.100-r1.apk | 109.7 KiB | 2025-Jun-01 00:03 |
mediastreamer2-doc-5.3.100-r1.apk | 107.7 KiB | 2025-Jun-01 00:03 |
mediastreamer2-plugin-openh264-5.2.0_git20231020..> | 11.0 KiB | 2025-Mar-07 01:31 |
mediastreamer2-plugin-x264-20200722-r6.apk | 7.5 KiB | 2024-Oct-25 23:06 |
meep-1.30.0-r1.apk | 616.3 KiB | 2025-Jul-05 00:36 |
meep-dev-1.30.0-r1.apk | 509.9 KiB | 2025-Jul-05 00:36 |
megatools-1.11.4.20250411-r0.apk | 64.7 KiB | 2025-May-03 20:33 |
megatools-bash-completion-1.11.4.20250411-r0.apk | 4.1 KiB | 2025-May-03 20:33 |
megatools-doc-1.11.4.20250411-r0.apk | 52.1 KiB | 2025-May-03 20:33 |
megazeux-2.93d-r0.apk | 1.3 MiB | 2025-Jun-10 16:44 |
megazeux-doc-2.93d-r0.apk | 465.3 KiB | 2025-Jun-10 16:44 |
meli-0.8.11-r0.apk | 5.0 MiB | 2025-May-05 04:12 |
meli-doc-0.8.11-r0.apk | 48.4 KiB | 2025-May-05 04:12 |
memdump-1.01-r1.apk | 5.4 KiB | 2024-Oct-25 23:06 |
memdump-doc-1.01-r1.apk | 3.1 KiB | 2024-Oct-25 23:06 |
menumaker-0.99.14-r1.apk | 111.0 KiB | 2024-Oct-25 23:06 |
merge-usr-0_git20250703-r1.apk | 8.9 KiB | 2025-Jul-27 00:55 |
mergerfs-2.40.2-r1.apk | 278.4 KiB | 2024-Oct-25 23:06 |
mergerfs-doc-2.40.2-r1.apk | 41.8 KiB | 2024-Oct-25 23:06 |
merlin-4.14-r0.apk | 14.3 MiB | 2024-Oct-25 23:06 |
merlin-dev-4.14-r0.apk | 22.6 MiB | 2024-Oct-25 23:06 |
merlin-emacs-4.14-r0.apk | 28.5 KiB | 2024-Oct-25 23:06 |
merlin-vim-4.14-r0.apk | 27.9 KiB | 2024-Oct-25 23:06 |
meson-tools-0.1-r2.apk | 8.2 KiB | 2024-Dec-09 19:38 |
meson-tools-doc-0.1-r2.apk | 8.3 KiB | 2024-Dec-09 19:38 |
mesonlsp-4.3.7-r4.apk | 2.2 MiB | 2025-Jun-19 08:36 |
metadata-cleaner-2.5.6-r0.apk | 49.1 KiB | 2025-Jan-31 17:31 |
metadata-cleaner-doc-2.5.6-r0.apk | 1.9 MiB | 2025-Jan-31 17:31 |
metadata-cleaner-lang-2.5.6-r0.apk | 65.9 KiB | 2025-Jan-31 17:31 |
metalang99-1.13.3-r0.apk | 54.3 KiB | 2024-Oct-25 23:06 |
milkytracker-1.04.00-r2.apk | 972.1 KiB | 2024-Oct-25 23:06 |
milkytracker-doc-1.04.00-r2.apk | 50.4 KiB | 2024-Oct-25 23:06 |
mimalloc1-1.9.3-r1.apk | 66.0 KiB | 2025-May-18 19:00 |
mimalloc1-debug-1.9.3-r1.apk | 202.1 KiB | 2025-May-18 19:00 |
mimalloc1-dev-1.9.3-r1.apk | 460.2 KiB | 2025-May-18 19:00 |
mimalloc1-insecure-1.9.3-r1.apk | 62.3 KiB | 2025-May-18 19:00 |
mimedefang-3.6-r0.apk | 158.7 KiB | 2025-Mar-02 15:17 |
mimedefang-doc-3.6-r0.apk | 80.4 KiB | 2025-Mar-02 15:17 |
mimeo-2023-r2.apk | 28.2 KiB | 2024-Oct-25 23:06 |
mimeo-pyc-2023-r2.apk | 41.6 KiB | 2024-Oct-25 23:06 |
minidyndns-1.3.0-r3.apk | 11.6 KiB | 2024-Oct-25 23:06 |
minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2024-Oct-25 23:06 |
minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2024-Oct-25 23:06 |
minigalaxy-1.4.0-r0.apk | 197.3 KiB | 2025-Jul-15 11:22 |
minigalaxy-pyc-1.4.0-r0.apk | 134.6 KiB | 2025-Jul-15 11:22 |
minimodem-0.24-r1.apk | 20.0 KiB | 2024-Oct-25 23:06 |
minimodem-doc-0.24-r1.apk | 5.2 KiB | 2024-Oct-25 23:06 |
minisatip-1.3.4-r0.apk | 329.2 KiB | 2024-Oct-25 23:06 |
minisatip-openrc-1.3.4-r0.apk | 1.9 KiB | 2024-Oct-25 23:06 |
mint-themes-2.1.1-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
mint-themes-doc-2.1.1-r0.apk | 12.8 KiB | 2024-Oct-25 23:06 |
mint-x-icons-1.7.2-r0.apk | 22.5 MiB | 2024-Dec-24 13:07 |
mint-x-icons-doc-1.7.2-r0.apk | 7.4 KiB | 2024-Dec-24 13:07 |
mint-x-theme-2.1.1-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
mint-x-theme-gtk2-2.1.1-r0.apk | 489.9 KiB | 2024-Oct-25 23:06 |
mint-x-theme-gtk3-2.1.1-r0.apk | 603.5 KiB | 2024-Oct-25 23:06 |
mint-x-theme-gtk4-2.1.1-r0.apk | 510.5 KiB | 2024-Oct-25 23:06 |
mint-x-theme-metacity-2.1.1-r0.apk | 6.1 KiB | 2024-Oct-25 23:06 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 34.8 KiB | 2024-Oct-25 23:06 |
mint-y-icons-1.8.3-r0.apk | 72.3 MiB | 2025-Feb-06 01:11 |
mint-y-icons-doc-1.8.3-r0.apk | 11.3 KiB | 2025-Feb-06 01:11 |
mint-y-theme-2.1.1-r0.apk | 4.1 KiB | 2024-Oct-25 23:06 |
mint-y-theme-gtk2-2.1.1-r0.apk | 656.1 KiB | 2024-Oct-25 23:06 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1.8 MiB | 2024-Oct-25 23:06 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1.6 MiB | 2024-Oct-25 23:06 |
mint-y-theme-metacity-2.1.1-r0.apk | 57.7 KiB | 2024-Oct-25 23:06 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 207.0 KiB | 2024-Oct-25 23:06 |
mir-2.21.1-r0.apk | 2.0 MiB | 2025-Jul-15 11:22 |
mir-demos-2.21.1-r0.apk | 139.4 KiB | 2025-Jul-15 11:22 |
mir-dev-2.21.1-r0.apk | 7.5 MiB | 2025-Jul-15 11:22 |
mir-test-tools-2.21.1-r0.apk | 263.5 KiB | 2025-Jul-15 11:22 |
mirrorhall-0.1.1-r1.apk | 26.3 KiB | 2025-Jan-14 11:03 |
mjpg-streamer-0_git20210220-r2.apk | 183.1 KiB | 2025-May-15 00:14 |
mjpg-streamer-input-raspicam-0_git20210220-r2.apk | 15.3 KiB | 2025-May-15 00:14 |
mkcert-1.4.4-r20.apk | 1.8 MiB | 2025-Jul-10 05:28 |
mkdocs-bootstrap-1.1.1-r2.apk | 28.5 KiB | 2024-Oct-25 23:06 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-bootstrap386-0.0.2-r5.apk | 791.3 KiB | 2024-Oct-25 23:06 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-bootstrap4-0.1.5-r5.apk | 260.1 KiB | 2024-Oct-25 23:06 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-bootswatch-1.1-r5.apk | 538.4 KiB | 2024-Oct-25 23:06 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4.7 KiB | 2024-Oct-25 23:06 |
mkdocs-cinder-1.2.0-r5.apk | 248.6 KiB | 2024-Oct-25 23:06 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-cluster-0.0.9-r5.apk | 651.1 KiB | 2024-Oct-25 23:06 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-gitbook-0.0.1-r5.apk | 644.1 KiB | 2024-Oct-25 23:06 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-ivory-0.4.6-r5.apk | 10.9 KiB | 2024-Oct-25 23:06 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 248.4 KiB | 2024-Oct-25 23:06 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkdocs-windmill-1.0.5-r4.apk | 944.1 KiB | 2024-Oct-25 23:06 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1.8 KiB | 2024-Oct-25 23:06 |
mkg3a-0.5.0-r1.apk | 16.0 KiB | 2024-Oct-25 23:06 |
mkg3a-doc-0.5.0-r1.apk | 3.1 KiB | 2024-Oct-25 23:06 |
mkosi-25.3-r1.apk | 250.9 KiB | 2025-Jul-15 20:31 |
mkosi-doc-25.3-r1.apk | 68.8 KiB | 2025-Jul-15 20:31 |
mkosi-pyc-25.3-r1.apk | 393.4 KiB | 2025-Jul-15 20:31 |
mktorrent-borg-0.9.9-r1.apk | 10.0 KiB | 2024-Oct-25 23:06 |
mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2024-Oct-25 23:06 |
mlxl-0.1-r0.apk | 5.5 KiB | 2024-Oct-25 23:06 |
mm-1.4.2-r1.apk | 7.1 KiB | 2024-Oct-25 23:06 |
mm-common-1.0.7-r0.apk | 414.2 KiB | 2025-Jun-27 19:39 |
mm-common-doc-1.0.7-r0.apk | 33.5 KiB | 2025-Jun-27 19:39 |
mm-dev-1.4.2-r1.apk | 11.8 KiB | 2024-Oct-25 23:06 |
mm-doc-1.4.2-r1.apk | 14.3 KiB | 2024-Oct-25 23:06 |
mmar-0.2.5-r1.apk | 2.6 MiB | 2025-May-15 00:14 |
mmix-0_git20221025-r0.apk | 177.4 KiB | 2024-Oct-25 23:06 |
mml-1.0.0-r0.apk | 861.3 KiB | 2024-Oct-25 23:06 |
mml-bash-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
mml-doc-1.0.0-r0.apk | 3.9 KiB | 2024-Oct-25 23:06 |
mml-fish-completion-1.0.0-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
mml-zsh-completion-1.0.0-r0.apk | 2.8 KiB | 2024-Oct-25 23:06 |
mnamer-2.5.5-r1.apk | 31.7 KiB | 2024-Oct-25 23:06 |
mnamer-pyc-2.5.5-r1.apk | 60.5 KiB | 2024-Oct-25 23:06 |
mobroute-0.10.0-r1.apk | 4.4 MiB | 2025-Jul-10 05:28 |
mobroute-doc-0.10.0-r1.apk | 1.3 MiB | 2025-Jul-10 05:28 |
mod_dnssd-0.6-r0.apk | 8.2 KiB | 2024-Oct-25 23:06 |
modem-manager-gui-0.0.20-r0.apk | 322.8 KiB | 2024-Oct-25 23:06 |
modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2024-Oct-25 23:06 |
modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2024-Oct-25 23:06 |
moe-1.14-r0.apk | 102.3 KiB | 2024-Oct-25 23:06 |
moe-doc-1.14-r0.apk | 19.0 KiB | 2024-Oct-25 23:06 |
moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2024-Oct-25 23:06 |
monetdb-11.33.11-r4.apk | 2.3 MiB | 2024-Oct-25 23:06 |
monetdb-dev-11.33.11-r4.apk | 77.1 KiB | 2024-Oct-25 23:06 |
monetdb-doc-11.33.11-r4.apk | 320.9 KiB | 2024-Oct-25 23:06 |
mongo-cxx-driver-3.8.0-r0.apk | 167.0 KiB | 2024-Oct-25 23:06 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 88.9 KiB | 2024-Oct-25 23:06 |
monopd-0.10.4-r0.apk | 89.5 KiB | 2025-Jan-11 14:11 |
monopd-openrc-0.10.4-r0.apk | 1.7 KiB | 2025-Jan-11 14:11 |
moon-buggy-1.0.51-r1.apk | 33.7 KiB | 2024-Oct-25 23:06 |
moon-buggy-doc-1.0.51-r1.apk | 7.1 KiB | 2024-Oct-25 23:06 |
moosefs-4.56.6-r2.apk | 292.0 KiB | 2025-Jun-19 11:34 |
moosefs-cgi-4.56.6-r2.apk | 121.2 KiB | 2025-Jun-19 11:34 |
moosefs-cgiserv-4.56.6-r2.apk | 7.8 KiB | 2025-Jun-19 11:34 |
moosefs-cgiserv-openrc-4.56.6-r2.apk | 2.0 KiB | 2025-Jun-19 11:34 |
moosefs-chunkserver-4.56.6-r2.apk | 228.7 KiB | 2025-Jun-19 11:34 |
moosefs-chunkserver-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 11:34 |
moosefs-client-4.56.6-r2.apk | 687.9 KiB | 2025-Jun-19 11:34 |
moosefs-doc-4.56.6-r2.apk | 95.2 KiB | 2025-Jun-19 11:34 |
moosefs-master-4.56.6-r2.apk | 377.6 KiB | 2025-Jun-19 11:34 |
moosefs-master-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 11:34 |
moosefs-metalogger-4.56.6-r2.apk | 41.0 KiB | 2025-Jun-19 11:34 |
moosefs-metalogger-openrc-4.56.6-r2.apk | 1.7 KiB | 2025-Jun-19 11:34 |
moosefs-static-4.56.6-r2.apk | 717.5 KiB | 2025-Jun-19 11:34 |
motion-4.7.0-r0.apk | 144.7 KiB | 2024-Oct-25 23:06 |
motion-doc-4.7.0-r0.apk | 139.9 KiB | 2024-Oct-25 23:06 |
motion-lang-4.7.0-r0.apk | 471.2 KiB | 2024-Oct-25 23:06 |
motion-openrc-4.7.0-r0.apk | 2.3 KiB | 2024-Oct-25 23:06 |
mp3val-0.1.8-r1.apk | 13.2 KiB | 2024-Oct-25 23:06 |
mpdcron-0.3-r1.apk | 96.2 KiB | 2024-Oct-25 23:06 |
mpdcron-dev-0.3-r1.apk | 55.9 KiB | 2024-Oct-25 23:06 |
mpdcron-doc-0.3-r1.apk | 13.4 KiB | 2024-Oct-25 23:06 |
mpdcron-zsh-completion-0.3-r1.apk | 2.9 KiB | 2024-Oct-25 23:06 |
mpdris2-0.9.1-r3.apk | 15.2 KiB | 2024-Oct-25 23:06 |
mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2024-Oct-25 23:06 |
mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2024-Oct-25 23:06 |
mpv-sponsorblock-2.2.0-r0.apk | 1.4 MiB | 2025-Jun-16 18:16 |
mqtt2prometheus-0.1.7-r17.apk | 4.4 MiB | 2025-Jul-10 05:28 |
mrsh-0_git20210518-r1.apk | 5.2 KiB | 2024-Oct-25 23:06 |
mrsh-dbg-0_git20210518-r1.apk | 203.0 KiB | 2024-Oct-25 23:06 |
mrsh-dev-0_git20210518-r1.apk | 10.0 KiB | 2024-Oct-25 23:06 |
mrsh-libs-0_git20210518-r1.apk | 53.9 KiB | 2024-Oct-25 23:06 |
msgpuck-2.0-r1.apk | 1.2 KiB | 2024-Oct-25 23:06 |
msgpuck-dev-2.0-r1.apk | 22.4 KiB | 2024-Oct-25 23:06 |
msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2024-Oct-25 23:06 |
msh-2.5.0-r13.apk | 2.9 MiB | 2025-Jul-10 05:28 |
msh-openrc-2.5.0-r13.apk | 2.0 KiB | 2025-Jul-10 05:28 |
mspdebug-0.25-r1.apk | 168.3 KiB | 2024-Oct-25 23:06 |
mspdebug-doc-0.25-r1.apk | 14.2 KiB | 2024-Oct-25 23:06 |
murex-7.0.2107-r1.apk | 6.0 MiB | 2025-Jul-10 05:28 |
murex-doc-7.0.2107-r1.apk | 303.6 KiB | 2025-Jul-10 05:28 |
muse-4.2.1-r2.apk | 5.8 MiB | 2025-May-15 00:14 |
muse-doc-4.2.1-r2.apk | 4.1 MiB | 2025-May-15 00:14 |
musikcube-3.0.4-r1.apk | 2.1 MiB | 2025-Jan-26 23:17 |
musikcube-dev-3.0.4-r1.apk | 19.0 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-all-3.0.4-r1.apk | 1.3 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-httpdatastream-3.0.4-r1.apk | 69.1 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-mpris-3.0.4-r1.apk | 18.9 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-openmpt-3.0.4-r1.apk | 26.1 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-server-3.0.4-r1.apk | 352.7 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-stockencoders-3.0.4-r1.apk | 18.0 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-supereqdsp-3.0.4-r1.apk | 23.0 KiB | 2025-Jan-26 23:17 |
musikcube-plugin-taglibreader-3.0.4-r1.apk | 31.3 KiB | 2025-Jan-26 23:17 |
mxclient-0_git20211002-r1.apk | 65.7 KiB | 2024-Oct-25 23:06 |
n30f-2.0-r3.apk | 6.7 KiB | 2024-Oct-25 23:06 |
nano-hare-0_git20231021-r0.apk | 2.2 KiB | 2024-Oct-25 23:06 |
nauty-2.9.0-r0.apk | 5.2 MiB | 2025-Jul-24 04:27 |
nauty-dev-2.9.0-r0.apk | 2.8 MiB | 2025-Jul-24 04:27 |
nauty-libs-2.9.0-r0.apk | 2.0 MiB | 2025-Jul-24 04:27 |
nb-7.19.1-r0.apk | 152.1 KiB | 2025-May-25 01:10 |
nb-bash-completion-7.19.1-r0.apk | 3.0 KiB | 2025-May-25 01:10 |
nb-doc-7.19.1-r0.apk | 77.5 KiB | 2025-May-25 01:10 |
nb-fish-completion-7.19.1-r0.apk | 2.8 KiB | 2025-May-25 01:10 |
nb-full-7.19.1-r0.apk | 1.3 KiB | 2025-May-25 01:10 |
nb-zsh-completion-7.19.1-r0.apk | 3.0 KiB | 2025-May-25 01:10 |
nbsdgames-5-r0.apk | 98.5 KiB | 2024-Oct-25 23:06 |
nbsdgames-doc-5-r0.apk | 9.4 KiB | 2024-Oct-25 23:06 |
neard-0.19-r0.apk | 134.7 KiB | 2024-Oct-25 23:06 |
neard-dev-0.19-r0.apk | 11.1 KiB | 2024-Oct-25 23:06 |
neard-doc-0.19-r0.apk | 5.6 KiB | 2024-Oct-25 23:06 |
neard-openrc-0.19-r0.apk | 1.7 KiB | 2024-Oct-25 23:06 |
neko-2.3.0-r0.apk | 432.5 KiB | 2024-Nov-21 01:26 |
neko-dev-2.3.0-r0.apk | 10.4 KiB | 2024-Nov-21 01:26 |
neko-doc-2.3.0-r0.apk | 19.9 KiB | 2024-Nov-21 01:26 |
nemo-gtkhash-plugin-1.5-r0.apk | 22.0 KiB | 2024-Oct-25 23:06 |
neocmakelsp-0.8.22-r0.apk | 1.7 MiB | 2025-May-05 11:15 |
neocmakelsp-bash-completion-0.8.22-r0.apk | 2.0 KiB | 2025-May-05 11:15 |
neocmakelsp-doc-0.8.22-r0.apk | 5.6 KiB | 2025-May-05 11:15 |
neocmakelsp-fish-completion-0.8.22-r0.apk | 1.6 KiB | 2025-May-05 11:15 |
neocmakelsp-zsh-completion-0.8.22-r0.apk | 1.8 KiB | 2025-May-05 11:15 |
neofetch-7.1.0-r2.apk | 85.7 KiB | 2024-Nov-07 00:46 |
neofetch-doc-7.1.0-r2.apk | 6.2 KiB | 2024-Nov-07 00:46 |
nerdlog-1.10.0-r1.apk | 2.7 MiB | 2025-Jul-10 05:28 |
nerdlog-doc-1.10.0-r1.apk | 13.4 KiB | 2025-Jul-10 05:28 |
net-predictable-1.5.1-r1.apk | 903.3 KiB | 2025-Jul-10 05:28 |
net-predictable-doc-1.5.1-r1.apk | 2.2 KiB | 2025-Jul-10 05:28 |
netdiscover-0.20-r0.apk | 541.5 KiB | 2025-May-18 00:51 |
netdiscover-doc-0.20-r0.apk | 4.2 KiB | 2025-May-18 00:51 |
netscanner-0.5.1-r1.apk | 3.6 MiB | 2024-Oct-25 23:06 |
netscanner-doc-0.5.1-r1.apk | 3.3 KiB | 2024-Oct-25 23:06 |
netsed-1.3-r3.apk | 9.9 KiB | 2024-Oct-25 23:06 |
networkmanager-dmenu-2.6.1-r0.apk | 13.8 KiB | 2025-Jul-24 04:27 |
networkmanager-dmenu-doc-2.6.1-r0.apk | 6.8 KiB | 2025-Jul-24 04:27 |
networkmanager-openconnect-1.2.10-r1.apk | 56.5 KiB | 2025-Apr-08 01:00 |
networkmanager-openconnect-lang-1.2.10-r1.apk | 986.2 KiB | 2025-Apr-08 01:00 |
newsyslog-1.2.0.91-r1.apk | 18.9 KiB | 2024-Oct-25 23:06 |
newsyslog-doc-1.2.0.91-r1.apk | 24.0 KiB | 2024-Oct-25 23:06 |
nextpnr-0.7-r0.apk | 1.4 KiB | 2024-Oct-25 23:06 |
nextpnr-ecp5-0.7-r0.apk | 25.4 MiB | 2024-Oct-25 23:06 |
nextpnr-generic-0.7-r0.apk | 708.6 KiB | 2024-Oct-25 23:06 |
nextpnr-gowin-0.7-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
nextpnr-ice40-0.7-r0.apk | 68.5 MiB | 2024-Oct-25 23:06 |
nfcd-1.2.2-r0.apk | 251.2 KiB | 2025-May-15 00:14 |
nfcd-dev-1.2.2-r0.apk | 24.1 KiB | 2025-May-15 00:14 |
nfoview-2.1-r0.apk | 38.7 KiB | 2025-Apr-13 13:30 |
nfoview-doc-2.1-r0.apk | 8.0 KiB | 2025-Apr-13 13:30 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1..> | 712.7 KiB | 2024-Oct-25 23:06 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.404..> | 21.3 KiB | 2024-Oct-25 23:06 |
nicotine-plus-3.3.10-r0.apk | 1.6 MiB | 2025-May-01 21:06 |
nicotine-plus-doc-3.3.10-r0.apk | 2.6 KiB | 2025-May-01 21:06 |
nicotine-plus-lang-3.3.10-r0.apk | 757.0 KiB | 2025-May-01 21:06 |
nicotine-plus-pyc-3.3.10-r0.apk | 788.9 KiB | 2025-May-01 21:06 |
nitro-2.7_beta8-r2.apk | 501.3 KiB | 2024-Oct-25 23:06 |
nitro-dev-2.7_beta8-r2.apk | 190.0 KiB | 2024-Oct-25 23:06 |
nitrocli-0.4.1-r3.apk | 432.6 KiB | 2024-Oct-25 23:06 |
nitrocli-bash-completion-0.4.1-r3.apk | 3.2 KiB | 2024-Oct-25 23:06 |
nitrocli-doc-0.4.1-r3.apk | 8.8 KiB | 2024-Oct-25 23:06 |
nkk-0_git20221010-r0.apk | 12.9 KiB | 2024-Oct-25 23:06 |
nkk-dev-0_git20221010-r0.apk | 2.9 KiB | 2024-Oct-25 23:06 |
nkk-doc-0_git20221010-r0.apk | 7.0 KiB | 2024-Oct-25 23:06 |
nlopt-2.10.0-r1.apk | 173.6 KiB | 2025-Jun-04 15:28 |
nlopt-dev-2.10.0-r1.apk | 12.0 KiB | 2025-Jun-04 15:28 |
nlopt-doc-2.10.0-r1.apk | 22.8 KiB | 2025-Jun-04 15:28 |
nlopt-guile-2.10.0-r1.apk | 41.5 KiB | 2025-Jun-04 15:28 |
nlopt-octave-2.10.0-r1.apk | 26.4 KiB | 2025-Jun-04 15:28 |
nm-tray-0.5.0-r0.apk | 95.7 KiB | 2024-Oct-25 23:06 |
nm-tray-lang-0.5.0-r0.apk | 26.9 KiB | 2024-Oct-25 23:06 |
nmap-parse-output-1.5.1-r1.apk | 20.5 KiB | 2025-May-29 14:57 |
nmap-parse-output-bash-completion-1.5.1-r1.apk | 2.0 KiB | 2025-May-29 14:57 |
nmap-parse-output-doc-1.5.1-r1.apk | 807.7 KiB | 2025-May-29 14:57 |
noblenote-1.2.1-r1.apk | 383.6 KiB | 2024-Oct-25 23:06 |
noggin-0.1-r19.apk | 1.3 MiB | 2025-Jul-10 05:28 |
noggin-doc-0.1-r19.apk | 2.9 KiB | 2025-Jul-10 05:28 |
noggin-model-0.1-r0.apk | 12.0 MiB | 2024-Oct-25 23:06 |
noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2024-Oct-25 23:06 |
noice-0.8-r1.apk | 8.7 KiB | 2024-Oct-25 23:06 |
noice-doc-0.8-r1.apk | 3.4 KiB | 2024-Oct-25 23:06 |
nom-2.8.0-r4.apk | 6.8 MiB | 2025-Jul-10 05:28 |
nom-doc-2.8.0-r4.apk | 4.0 KiB | 2025-Jul-10 05:28 |
nomadnet-0.7.0-r0.apk | 142.8 KiB | 2025-May-28 00:40 |
nomadnet-pyc-0.7.0-r0.apk | 284.1 KiB | 2025-May-28 00:40 |
normaliz-3.10.4-r2.apk | 39.5 KiB | 2025-Jun-13 07:56 |
normaliz-dev-3.10.4-r2.apk | 72.6 KiB | 2025-Jun-13 07:56 |
normaliz-libs-3.10.4-r2.apk | 2.6 MiB | 2025-Jun-13 07:56 |
notification-daemon-3.20.0-r1.apk | 56.3 KiB | 2025-Jul-02 00:59 |
nsh-0.4.2-r1.apk | 615.0 KiB | 2024-Oct-25 23:06 |
nsh-dbg-0.4.2-r1.apk | 3.4 MiB | 2024-Oct-25 23:06 |
nsnake-3.0.0-r0.apk | 8.7 KiB | 2024-Oct-25 23:06 |
nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2024-Oct-25 23:06 |
nsq-1.3.0-r10.apk | 25.3 MiB | 2025-May-15 00:14 |
ntpd-rs-1.6.1-r0.apk | 3.2 MiB | 2025-Jul-24 04:27 |
ntpd-rs-doc-1.6.1-r0.apk | 24.1 KiB | 2025-Jul-24 04:27 |
ntpd-rs-openrc-1.6.1-r0.apk | 1.9 KiB | 2025-Jul-24 04:27 |
nuklear-4.12.0-r0.apk | 219.8 KiB | 2024-Oct-25 23:06 |
nuklear-doc-4.12.0-r0.apk | 42.4 KiB | 2024-Oct-25 23:06 |
nullmailer-2.2-r4.apk | 92.7 KiB | 2024-Oct-25 23:06 |
nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2024-Oct-25 23:06 |
nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2024-Oct-25 23:06 |
numbat-1.9.0-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
numbat-doc-1.9.0-r0.apk | 24.7 KiB | 2024-Oct-25 23:06 |
nuzzle-1.6-r0.apk | 11.7 KiB | 2025-Jan-25 10:04 |
nuzzle-doc-1.6-r0.apk | 3.2 KiB | 2025-Jan-25 10:04 |
nvim-cmp-0.0.0_git20221011-r1.apk | 54.8 KiB | 2024-Oct-25 23:06 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7.8 KiB | 2024-Oct-25 23:06 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4.2 KiB | 2024-Oct-25 23:06 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3.3 KiB | 2024-Oct-25 23:06 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1.8 KiB | 2024-Oct-25 23:06 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10.5 KiB | 2024-Oct-25 23:06 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3.5 KiB | 2024-Oct-25 23:06 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2.6 KiB | 2024-Oct-25 23:06 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3.5 KiB | 2024-Oct-25 23:06 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2.0 KiB | 2024-Oct-25 23:06 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3.8 KiB | 2024-Oct-25 23:06 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2.0 KiB | 2024-Oct-25 23:06 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10.2 KiB | 2024-Oct-25 23:06 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2.8 KiB | 2024-Oct-25 23:06 |
nvim-lualine-0.0.0_git20241101-r0.apk | 59.5 KiB | 2024-Nov-14 02:16 |
nvim-lualine-doc-0.0.0_git20241101-r0.apk | 30.6 KiB | 2024-Nov-14 02:16 |
nvim-packer-0.0.0_git20220910-r1.apk | 45.6 KiB | 2024-Oct-25 23:06 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21.2 KiB | 2024-Oct-25 23:06 |
nvim-plenary-0.1.4_git20240917-r0.apk | 102.0 KiB | 2024-Nov-11 07:07 |
nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10.3 KiB | 2024-Nov-11 07:07 |
nvim-web-devicons-0.100_git20241011-r0.apk | 26.8 KiB | 2024-Nov-11 06:57 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7.1 KiB | 2024-Nov-11 06:57 |
nvimpager-0.12.0-r0.apk | 12.6 KiB | 2024-Oct-25 23:06 |
nvimpager-doc-0.12.0-r0.apk | 4.4 KiB | 2024-Oct-25 23:06 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1.8 KiB | 2024-Oct-25 23:06 |
nvtop-3.2.0-r0.apk | 69.7 KiB | 2025-Apr-30 02:39 |
nvtop-doc-3.2.0-r0.apk | 3.5 KiB | 2025-Apr-30 02:39 |
nwg-bar-0.1.6-r11.apk | 1.5 MiB | 2025-Jul-10 05:28 |
nwg-displays-0.3.25-r0.apk | 25.4 KiB | 2025-Jul-24 04:27 |
nwg-displays-pyc-0.3.25-r0.apk | 34.8 KiB | 2025-Jul-24 04:27 |
nwg-dock-0.4.3-r1.apk | 1.6 MiB | 2025-Jul-10 05:28 |
nwg-panel-0.10.10-r0.apk | 282.7 KiB | 2025-Jul-15 11:22 |
nwg-panel-doc-0.10.10-r0.apk | 4.4 KiB | 2025-Jul-15 11:22 |
nwg-panel-pyc-0.10.10-r0.apk | 269.5 KiB | 2025-Jul-15 11:22 |
nwipe-0.38-r0.apk | 276.9 KiB | 2025-Jun-19 20:34 |
nwipe-doc-0.38-r0.apk | 3.8 KiB | 2025-Jun-19 20:34 |
nymphcast-mediaserver-0.1-r3.apk | 108.1 KiB | 2024-Oct-25 23:06 |
nymphcast-mediaserver-nftables-0.1-r3.apk | 1.7 KiB | 2024-Oct-25 23:06 |
nzbget-25.2-r0.apk | 4.7 MiB | 2025-Jul-05 00:44 |
nzbget-openrc-25.2-r0.apk | 2.1 KiB | 2025-Jul-05 00:44 |
oauth2-proxy-7.8.1-r3.apk | 8.5 MiB | 2025-Jul-10 05:28 |
oauth2-proxy-openrc-7.8.1-r3.apk | 2.1 KiB | 2025-Jul-10 05:28 |
objconv-2.52_git20210213-r2.apk | 230.7 KiB | 2024-Oct-25 23:06 |
oblibs-0.3.4.0-r0.apk | 34.4 KiB | 2025-Jun-01 04:39 |
oblibs-dbg-0.3.4.0-r0.apk | 97.2 KiB | 2025-Jun-01 04:39 |
oblibs-dev-0.3.4.0-r0.apk | 236.7 KiB | 2025-Jun-01 04:39 |
obnc-0.17.2-r0.apk | 143.4 KiB | 2025-May-26 02:37 |
obnc-doc-0.17.2-r0.apk | 33.3 KiB | 2025-May-26 02:37 |
ocaml-alcotest-1.5.0-r4.apk | 460.5 KiB | 2024-Oct-25 23:06 |
ocaml-alcotest-dev-1.5.0-r4.apk | 821.0 KiB | 2024-Oct-25 23:06 |
ocaml-amqp-client-2.3.0-r0.apk | 605.4 KiB | 2024-Oct-25 23:06 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1.0 MiB | 2024-Oct-25 23:06 |
ocaml-angstrom-0.16.0-r0.apk | 176.2 KiB | 2024-Oct-25 23:06 |
ocaml-angstrom-dev-0.16.0-r0.apk | 341.0 KiB | 2024-Oct-25 23:06 |
ocaml-arp-3.0.0-r3.apk | 84.1 KiB | 2024-Oct-25 23:06 |
ocaml-arp-dev-3.0.0-r3.apk | 159.4 KiB | 2024-Oct-25 23:06 |
ocaml-asn1-combinators-0.2.6-r2.apk | 316.0 KiB | 2024-Oct-25 23:06 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 573.8 KiB | 2024-Oct-25 23:06 |
ocaml-astring-0.8.5-r2.apk | 285.8 KiB | 2024-Oct-25 23:06 |
ocaml-astring-dev-0.8.5-r2.apk | 179.8 KiB | 2024-Oct-25 23:06 |
ocaml-atd-2.15.0-r0.apk | 6.7 MiB | 2024-Oct-25 23:06 |
ocaml-atd-dev-2.15.0-r0.apk | 1.9 MiB | 2024-Oct-25 23:06 |
ocaml-base-0.16.3-r0.apk | 4.6 MiB | 2024-Oct-25 23:06 |
ocaml-base-dev-0.16.3-r0.apk | 9.6 MiB | 2024-Oct-25 23:06 |
ocaml-base64-3.5.0-r2.apk | 89.3 KiB | 2024-Oct-25 23:06 |
ocaml-base64-dev-3.5.0-r2.apk | 175.2 KiB | 2024-Oct-25 23:06 |
ocaml-bigarray-compat-1.1.0-r2.apk | 12.4 KiB | 2024-Oct-25 23:06 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12.1 KiB | 2024-Oct-25 23:06 |
ocaml-bigstringaf-0.9.0-r2.apk | 46.3 KiB | 2024-Oct-25 23:06 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 91.0 KiB | 2024-Oct-25 23:06 |
ocaml-biniou-1.2.1-r5.apk | 563.6 KiB | 2024-Oct-25 23:06 |
ocaml-biniou-dev-1.2.1-r5.apk | 365.5 KiB | 2024-Oct-25 23:06 |
ocaml-bisect_ppx-2.8.3-r0.apk | 4.6 MiB | 2024-Oct-25 23:06 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 551.3 KiB | 2024-Oct-25 23:06 |
ocaml-bitstring-4.1.0-r3.apk | 4.0 MiB | 2024-Oct-25 23:06 |
ocaml-bitstring-dev-4.1.0-r3.apk | 579.6 KiB | 2024-Oct-25 23:06 |
ocaml-bos-0.2.1-r2.apk | 461.4 KiB | 2024-Oct-25 23:06 |
ocaml-bos-dev-0.2.1-r2.apk | 350.9 KiB | 2024-Oct-25 23:06 |
ocaml-ca-certs-0.2.2-r2.apk | 28.4 KiB | 2024-Oct-25 23:06 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 40.0 KiB | 2024-Oct-25 23:06 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 394.6 KiB | 2024-Oct-25 23:06 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 446.8 KiB | 2024-Oct-25 23:06 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1.5 MiB | 2024-Oct-25 23:06 |
ocaml-cairo2-0.6.2-r2.apk | 165.2 KiB | 2024-Oct-25 23:06 |
ocaml-cairo2-dev-0.6.2-r2.apk | 448.6 KiB | 2024-Oct-25 23:06 |
ocaml-calendar-2.04-r4.apk | 219.0 KiB | 2024-Oct-25 23:06 |
ocaml-calendar-dev-2.04-r4.apk | 162.4 KiB | 2024-Oct-25 23:06 |
ocaml-calendar-doc-2.04-r4.apk | 11.8 KiB | 2024-Oct-25 23:06 |
ocaml-camlpdf-2.8.1-r0.apk | 6.0 MiB | 2025-May-15 00:14 |
ocaml-camlzip-1.11-r2.apk | 113.7 KiB | 2024-Oct-25 23:06 |
ocaml-camlzip-dev-1.11-r2.apk | 219.6 KiB | 2024-Oct-25 23:06 |
ocaml-camomile-1.0.2-r3.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-camomile-data-1.0.2-r3.apk | 5.1 MiB | 2024-Oct-25 23:06 |
ocaml-camomile-dev-1.0.2-r3.apk | 2.5 MiB | 2024-Oct-25 23:06 |
ocaml-charinfo_width-1.1.0-r3.apk | 104.0 KiB | 2024-Oct-25 23:06 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 189.3 KiB | 2024-Oct-25 23:06 |
ocaml-cmdliner-1.1.1-r3.apk | 468.6 KiB | 2024-Oct-25 23:06 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 241.3 KiB | 2024-Oct-25 23:06 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 19.7 KiB | 2024-Oct-25 23:06 |
ocaml-cohttp-5.3.1-r0.apk | 677.5 KiB | 2024-Oct-25 23:06 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-cohttp-tools-5.3.1-r0.apk | 7.7 MiB | 2024-Oct-25 23:06 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 76.8 KiB | 2024-Oct-25 23:06 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 103.5 KiB | 2024-Oct-25 23:06 |
ocaml-conduit-6.1.0-r0.apk | 290.8 KiB | 2024-Oct-25 23:06 |
ocaml-conduit-dev-6.1.0-r0.apk | 548.9 KiB | 2024-Oct-25 23:06 |
ocaml-containers-3.7-r2.apk | 3.5 MiB | 2024-Oct-25 23:06 |
ocaml-containers-dev-3.7-r2.apk | 6.7 MiB | 2024-Oct-25 23:06 |
ocaml-containers-top-3.7-r2.apk | 22.5 KiB | 2024-Oct-25 23:06 |
ocaml-cpdf-2.8.1-r0.apk | 4.9 MiB | 2025-May-15 00:14 |
ocaml-cstruct-6.1.0-r3.apk | 4.1 MiB | 2024-Oct-25 23:06 |
ocaml-cstruct-dev-6.1.0-r3.apk | 709.3 KiB | 2024-Oct-25 23:06 |
ocaml-ctypes-0.20.1-r2.apk | 878.4 KiB | 2024-Oct-25 23:06 |
ocaml-ctypes-dev-0.20.1-r2.apk | 852.1 KiB | 2024-Oct-25 23:06 |
ocaml-curses-1.0.10-r2.apk | 135.8 KiB | 2024-Oct-25 23:06 |
ocaml-curses-dev-1.0.10-r2.apk | 313.1 KiB | 2024-Oct-25 23:06 |
ocaml-dns-6.2.2-r3.apk | 2.2 MiB | 2024-Oct-25 23:06 |
ocaml-dns-dev-6.2.2-r3.apk | 4.2 MiB | 2024-Oct-25 23:06 |
ocaml-dns-tools-6.2.2-r3.apk | 10.6 MiB | 2024-Oct-25 23:06 |
ocaml-domain-name-0.4.0-r2.apk | 74.2 KiB | 2024-Oct-25 23:06 |
ocaml-domain-name-dev-0.4.0-r2.apk | 141.4 KiB | 2024-Oct-25 23:06 |
ocaml-down-0.1.0-r3.apk | 627.0 KiB | 2024-Oct-25 23:06 |
ocaml-down-dev-0.1.0-r3.apk | 333.4 KiB | 2024-Oct-25 23:06 |
ocaml-duration-0.2.0-r2.apk | 26.9 KiB | 2024-Oct-25 23:06 |
ocaml-duration-dev-0.2.0-r2.apk | 44.6 KiB | 2024-Oct-25 23:06 |
ocaml-easy-format-1.3.4-r1.apk | 59.4 KiB | 2024-Oct-25 23:06 |
ocaml-easy-format-dev-1.3.4-r1.apk | 111.5 KiB | 2024-Oct-25 23:06 |
ocaml-eqaf-0.8-r2.apk | 68.8 KiB | 2024-Oct-25 23:06 |
ocaml-eqaf-dev-0.8-r2.apk | 119.9 KiB | 2024-Oct-25 23:06 |
ocaml-erm_xml-0_git20211229-r2.apk | 581.6 KiB | 2024-Oct-25 23:06 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 777.6 KiB | 2024-Oct-25 23:06 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1.7 MiB | 2024-Oct-25 23:06 |
ocaml-ethernet-3.0.0-r3.apk | 42.6 KiB | 2024-Oct-25 23:06 |
ocaml-ethernet-dev-3.0.0-r3.apk | 82.7 KiB | 2024-Oct-25 23:06 |
ocaml-extlib-1.7.9-r2.apk | 637.0 KiB | 2024-Oct-25 23:06 |
ocaml-extlib-dev-1.7.9-r2.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-extlib-doc-1.7.9-r2.apk | 10.6 KiB | 2024-Oct-25 23:06 |
ocaml-ezxmlm-1.1.0-r0.apk | 31.9 KiB | 2024-Oct-25 23:06 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 52.1 KiB | 2024-Oct-25 23:06 |
ocaml-fileutils-0.6.4-r2.apk | 313.7 KiB | 2024-Oct-25 23:06 |
ocaml-fileutils-dev-0.6.4-r2.apk | 598.0 KiB | 2024-Oct-25 23:06 |
ocaml-fileutils-doc-0.6.4-r2.apk | 15.8 KiB | 2024-Oct-25 23:06 |
ocaml-fix-20220121-r2.apk | 167.5 KiB | 2024-Oct-25 23:06 |
ocaml-fix-dev-20220121-r2.apk | 429.0 KiB | 2024-Oct-25 23:06 |
ocaml-fmt-0.9.0-r2.apk | 191.0 KiB | 2024-Oct-25 23:06 |
ocaml-fmt-dev-0.9.0-r2.apk | 126.4 KiB | 2024-Oct-25 23:06 |
ocaml-fpath-0.7.3-r2.apk | 138.6 KiB | 2024-Oct-25 23:06 |
ocaml-fpath-dev-0.7.3-r2.apk | 103.2 KiB | 2024-Oct-25 23:06 |
ocaml-gen-1.1-r1.apk | 323.3 KiB | 2024-Oct-25 23:06 |
ocaml-gen-dev-1.1-r1.apk | 612.7 KiB | 2024-Oct-25 23:06 |
ocaml-gettext-0.4.2-r3.apk | 3.4 MiB | 2024-Oct-25 23:06 |
ocaml-gettext-dev-0.4.2-r3.apk | 724.7 KiB | 2024-Oct-25 23:06 |
ocaml-gettext-doc-0.4.2-r3.apk | 19.2 KiB | 2024-Oct-25 23:06 |
ocaml-gitlab-0.1.8-r0.apk | 3.0 MiB | 2024-Oct-25 23:06 |
ocaml-gitlab-dev-0.1.8-r0.apk | 11.6 MiB | 2024-Oct-25 23:06 |
ocaml-gmap-0.3.0-r2.apk | 36.5 KiB | 2024-Oct-25 23:06 |
ocaml-gmap-dev-0.3.0-r2.apk | 74.7 KiB | 2024-Oct-25 23:06 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 84.4 KiB | 2024-Oct-25 23:06 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 148.9 KiB | 2024-Oct-25 23:06 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 39.0 KiB | 2024-Oct-25 23:06 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 59.1 KiB | 2024-Oct-25 23:06 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2.4 MiB | 2024-Oct-25 23:06 |
ocaml-hex-1.5.0-r2.apk | 29.4 KiB | 2024-Oct-25 23:06 |
ocaml-hex-dev-1.5.0-r2.apk | 53.5 KiB | 2024-Oct-25 23:06 |
ocaml-higlo-0.9-r0.apk | 4.9 MiB | 2024-Oct-25 23:06 |
ocaml-higlo-dev-0.9-r0.apk | 677.1 KiB | 2024-Oct-25 23:06 |
ocaml-hkdf-1.0.4-r2.apk | 14.0 KiB | 2024-Oct-25 23:06 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18.3 KiB | 2024-Oct-25 23:06 |
ocaml-integers-0.7.0-r2.apk | 128.4 KiB | 2024-Oct-25 23:06 |
ocaml-integers-dev-0.7.0-r2.apk | 273.5 KiB | 2024-Oct-25 23:06 |
ocaml-ipaddr-5.3.1-r2.apk | 314.4 KiB | 2024-Oct-25 23:06 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 562.1 KiB | 2024-Oct-25 23:06 |
ocaml-iri-1.0.0-r0.apk | 1.8 MiB | 2024-Oct-25 23:06 |
ocaml-iri-dev-1.0.0-r0.apk | 770.4 KiB | 2024-Oct-25 23:06 |
ocaml-iso8601-0.2.6-r0.apk | 52.1 KiB | 2024-Oct-25 23:06 |
ocaml-iso8601-dev-0.2.6-r0.apk | 87.4 KiB | 2024-Oct-25 23:06 |
ocaml-jsonm-1.0.2-r0.apk | 118.8 KiB | 2024-Oct-25 23:06 |
ocaml-jsonm-dev-1.0.2-r0.apk | 67.2 KiB | 2024-Oct-25 23:06 |
ocaml-jsonm-tools-1.0.2-r0.apk | 445.1 KiB | 2024-Oct-25 23:06 |
ocaml-lablgtk3-3.1.2-r3.apk | 7.9 MiB | 2024-Oct-25 23:06 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 13.8 MiB | 2024-Oct-25 23:06 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 881.4 KiB | 2024-Oct-25 23:06 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1.5 MiB | 2024-Oct-25 23:06 |
ocaml-labltk-8.06.12-r2.apk | 2.9 MiB | 2024-Oct-25 23:06 |
ocaml-labltk-dev-8.06.12-r2.apk | 1.5 MiB | 2024-Oct-25 23:06 |
ocaml-lambda-term-3.2.0-r4.apk | 3.4 MiB | 2024-Oct-25 23:06 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 3.8 MiB | 2024-Oct-25 23:06 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8.8 KiB | 2024-Oct-25 23:06 |
ocaml-lambdasoup-0.7.3-r2.apk | 189.9 KiB | 2024-Oct-25 23:06 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 348.5 KiB | 2024-Oct-25 23:06 |
ocaml-libvirt-0.6.1.7-r0.apk | 175.0 KiB | 2024-Oct-25 23:06 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 98.6 KiB | 2024-Oct-25 23:06 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 13.7 KiB | 2024-Oct-25 23:06 |
ocaml-logs-0.7.0-r3.apk | 114.2 KiB | 2024-Oct-25 23:06 |
ocaml-logs-dev-0.7.0-r3.apk | 85.1 KiB | 2024-Oct-25 23:06 |
ocaml-lru-0.3.0-r2.apk | 75.8 KiB | 2024-Oct-25 23:06 |
ocaml-lru-dev-0.3.0-r2.apk | 162.4 KiB | 2024-Oct-25 23:06 |
ocaml-lwd-0.3-r0.apk | 490.3 KiB | 2024-Oct-25 23:06 |
ocaml-lwd-dev-0.3-r0.apk | 1019.0 KiB | 2024-Oct-25 23:06 |
ocaml-lwt-5.7.0-r0.apk | 1.2 MiB | 2024-Oct-25 23:06 |
ocaml-lwt-dev-5.7.0-r0.apk | 3.1 MiB | 2024-Oct-25 23:06 |
ocaml-lwt-dllist-1.0.1-r3.apk | 22.6 KiB | 2024-Oct-25 23:06 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 39.7 KiB | 2024-Oct-25 23:06 |
ocaml-lwt_log-1.1.1-r5.apk | 132.4 KiB | 2024-Oct-25 23:06 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 255.7 KiB | 2024-Oct-25 23:06 |
ocaml-lwt_ppx-5.7.0-r0.apk | 3.8 MiB | 2024-Oct-25 23:06 |
ocaml-lwt_react-5.7.0-r0.apk | 119.7 KiB | 2024-Oct-25 23:06 |
ocaml-lwt_ssl-1.2.0-r0.apk | 29.3 KiB | 2024-Oct-25 23:06 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 46.8 KiB | 2024-Oct-25 23:06 |
ocaml-magic-mime-1.3.1-r0.apk | 222.8 KiB | 2024-Oct-25 23:06 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 320.7 KiB | 2024-Oct-25 23:06 |
ocaml-markup-1.0.3-r3.apk | 1.0 MiB | 2024-Oct-25 23:06 |
ocaml-markup-dev-1.0.3-r3.apk | 2.1 MiB | 2024-Oct-25 23:06 |
ocaml-menhir-20220210-r3.apk | 1.3 MiB | 2024-Dec-08 19:19 |
ocaml-menhir-dev-20220210-r3.apk | 898.2 KiB | 2024-Dec-08 19:19 |
ocaml-menhir-doc-20220210-r3.apk | 609.8 KiB | 2024-Dec-08 19:19 |
ocaml-merlin-extend-0.6.1-r2.apk | 49.0 KiB | 2024-Oct-25 23:06 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 91.0 KiB | 2024-Oct-25 23:06 |
ocaml-metrics-0.4.0-r3.apk | 245.0 KiB | 2024-Oct-25 23:06 |
ocaml-metrics-dev-0.4.0-r3.apk | 449.9 KiB | 2024-Oct-25 23:06 |
ocaml-mew-0.1.0-r3.apk | 68.8 KiB | 2024-Oct-25 23:06 |
ocaml-mew-dev-0.1.0-r3.apk | 95.9 KiB | 2024-Oct-25 23:06 |
ocaml-mew_vi-0.5.0-r3.apk | 185.2 KiB | 2024-Oct-25 23:06 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 296.4 KiB | 2024-Oct-25 23:06 |
ocaml-mikmatch-1.0.9-r2.apk | 145.4 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-clock-4.2.0-r2.apk | 27.8 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 34.0 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 5.5 MiB | 2024-Oct-25 23:06 |
ocaml-mirage-flow-3.0.0-r3.apk | 110.2 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 193.3 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-kv-4.0.1-r3.apk | 20.1 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 42.9 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-net-4.0.0-r3.apk | 12.3 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 19.5 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-profile-0.9.1-r3.apk | 24.1 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 30.9 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-random-3.0.0-r3.apk | 7.0 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5.4 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-time-3.0.0-r4.apk | 10.0 KiB | 2024-Oct-25 23:06 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8.2 KiB | 2024-Oct-25 23:06 |
ocaml-mmap-1.2.0-r3.apk | 7.2 KiB | 2024-Oct-25 23:06 |
ocaml-mmap-dev-1.2.0-r3.apk | 6.5 KiB | 2024-Oct-25 23:06 |
ocaml-mqtt-0.2.2-r0.apk | 156.2 KiB | 2024-Oct-25 23:06 |
ocaml-mqtt-dev-0.2.2-r0.apk | 258.5 KiB | 2024-Oct-25 23:06 |
ocaml-mtime-1.4.0-r2.apk | 48.9 KiB | 2024-Oct-25 23:06 |
ocaml-mtime-dev-1.4.0-r2.apk | 48.3 KiB | 2024-Oct-25 23:06 |
ocaml-notty-0.2.3-r0.apk | 308.2 KiB | 2024-Oct-25 23:06 |
ocaml-notty-dev-0.2.3-r0.apk | 607.9 KiB | 2024-Oct-25 23:06 |
ocaml-num-1.4-r3.apk | 256.5 KiB | 2024-Oct-25 23:06 |
ocaml-num-dev-1.4-r3.apk | 94.9 KiB | 2024-Oct-25 23:06 |
ocaml-obuild-0.1.11-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocaml-ocf-0.8.0-r3.apk | 7.8 MiB | 2024-Oct-25 23:06 |
ocaml-ocf-dev-0.8.0-r3.apk | 323.9 KiB | 2024-Oct-25 23:06 |
ocaml-ocp-indent-1.8.2-r2.apk | 537.8 KiB | 2024-Oct-25 23:06 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 638.3 KiB | 2024-Oct-25 23:06 |
ocaml-ocp-index-1.3.6-r0.apk | 461.0 KiB | 2024-Oct-25 23:06 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 529.3 KiB | 2024-Oct-25 23:06 |
ocaml-ocplib-endian-1.2-r3.apk | 157.4 KiB | 2024-Oct-25 23:06 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 260.7 KiB | 2024-Oct-25 23:06 |
ocaml-omake-0.10.6-r0.apk | 1.6 MiB | 2024-Oct-25 23:06 |
ocaml-omake-doc-0.10.6-r0.apk | 8.0 KiB | 2024-Oct-25 23:06 |
ocaml-omod-0.0.3-r3.apk | 330.1 KiB | 2024-Oct-25 23:06 |
ocaml-omod-bin-0.0.3-r3.apk | 2.7 MiB | 2024-Oct-25 23:06 |
ocaml-omod-dev-0.0.3-r3.apk | 214.1 KiB | 2024-Oct-25 23:06 |
ocaml-otoml-1.0.5-r0.apk | 443.7 KiB | 2024-Oct-25 23:06 |
ocaml-otoml-dev-1.0.5-r0.apk | 780.5 KiB | 2024-Oct-25 23:06 |
ocaml-otr-0.3.10-r2.apk | 283.6 KiB | 2024-Oct-25 23:06 |
ocaml-otr-dev-0.3.10-r2.apk | 527.0 KiB | 2024-Oct-25 23:06 |
ocaml-ounit-2.2.7-r3.apk | 536.6 KiB | 2024-Oct-25 23:06 |
ocaml-ounit-dev-2.2.7-r3.apk | 1.0 MiB | 2024-Oct-25 23:06 |
ocaml-parsexp-0.16.0-r0.apk | 398.3 KiB | 2024-Oct-25 23:06 |
ocaml-parsexp-dev-0.16.0-r0.apk | 937.9 KiB | 2024-Oct-25 23:06 |
ocaml-pbkdf-1.2.0-r2.apk | 17.4 KiB | 2024-Oct-25 23:06 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 25.2 KiB | 2024-Oct-25 23:06 |
ocaml-pcre-7.5.0-r4.apk | 147.9 KiB | 2024-Oct-25 23:06 |
ocaml-pcre-dev-7.5.0-r4.apk | 302.5 KiB | 2024-Oct-25 23:06 |
ocaml-ppx_blob-0.8.0-r0.apk | 3.7 MiB | 2024-Oct-25 23:06 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 18.8 KiB | 2024-Oct-25 23:06 |
ocaml-ppx_derivers-1.2.1-r2.apk | 9.5 KiB | 2024-Oct-25 23:06 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11.2 KiB | 2024-Oct-25 23:06 |
ocaml-ppx_deriving-5.3.0-r0.apk | 5.0 MiB | 2024-Oct-25 23:06 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 579.7 KiB | 2024-Oct-25 23:06 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocaml-ppxlib-0.32.0-r0.apk | 12.8 MiB | 2024-Oct-25 23:06 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 16.1 MiB | 2024-Oct-25 23:06 |
ocaml-psq-0.2.0-r2.apk | 97.0 KiB | 2024-Oct-25 23:06 |
ocaml-psq-dev-0.2.0-r2.apk | 173.7 KiB | 2024-Oct-25 23:06 |
ocaml-ptime-1.0.0-r2.apk | 112.9 KiB | 2024-Oct-25 23:06 |
ocaml-ptime-dev-1.0.0-r2.apk | 75.9 KiB | 2024-Oct-25 23:06 |
ocaml-ptmap-2.0.5-r3.apk | 53.7 KiB | 2024-Oct-25 23:06 |
ocaml-ptmap-dev-2.0.5-r3.apk | 94.0 KiB | 2024-Oct-25 23:06 |
ocaml-qcheck-0.18.1-r3.apk | 668.1 KiB | 2024-Oct-25 23:06 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-qtest-2.11.2-r3.apk | 325.7 KiB | 2024-Oct-25 23:06 |
ocaml-qtest-dev-2.11.2-r3.apk | 3.6 KiB | 2024-Oct-25 23:06 |
ocaml-randomconv-0.1.3-r2.apk | 13.6 KiB | 2024-Oct-25 23:06 |
ocaml-randomconv-dev-0.1.3-r2.apk | 17.7 KiB | 2024-Oct-25 23:06 |
ocaml-re-1.11.0-r1.apk | 523.7 KiB | 2024-Oct-25 23:06 |
ocaml-re-dev-1.11.0-r1.apk | 974.7 KiB | 2024-Oct-25 23:06 |
ocaml-react-1.2.2-r2.apk | 283.0 KiB | 2024-Oct-25 23:06 |
ocaml-react-dev-1.2.2-r2.apk | 198.0 KiB | 2024-Oct-25 23:06 |
ocaml-reason-3.8.2-r1.apk | 15.3 MiB | 2024-Oct-25 23:06 |
ocaml-reason-dev-3.8.2-r1.apk | 28.8 MiB | 2024-Oct-25 23:06 |
ocaml-result-1.5-r2.apk | 9.1 KiB | 2024-Oct-25 23:06 |
ocaml-result-dev-1.5-r2.apk | 7.9 KiB | 2024-Oct-25 23:06 |
ocaml-rresult-0.7.0-r2.apk | 38.1 KiB | 2024-Oct-25 23:06 |
ocaml-rresult-dev-0.7.0-r2.apk | 34.7 KiB | 2024-Oct-25 23:06 |
ocaml-sedlex-3.2-r0.apk | 4.1 MiB | 2024-Oct-25 23:06 |
ocaml-sedlex-dev-3.2-r0.apk | 1.5 MiB | 2024-Oct-25 23:06 |
ocaml-seq-0.3.1-r2.apk | 13.9 KiB | 2024-Oct-25 23:06 |
ocaml-seq-dev-0.3.1-r2.apk | 19.9 KiB | 2024-Oct-25 23:06 |
ocaml-sexplib-0.16.0-r0.apk | 486.4 KiB | 2024-Oct-25 23:06 |
ocaml-sexplib-dev-0.16.0-r0.apk | 821.7 KiB | 2024-Oct-25 23:06 |
ocaml-sexplib0-0.16.0-r0.apk | 170.7 KiB | 2024-Oct-25 23:06 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 334.7 KiB | 2024-Oct-25 23:06 |
ocaml-sha-1.15.4-r0.apk | 81.8 KiB | 2024-Oct-25 23:06 |
ocaml-sha-dev-1.15.4-r0.apk | 239.9 KiB | 2024-Oct-25 23:06 |
ocaml-ssl-0.7.0-r0.apk | 94.3 KiB | 2024-Oct-25 23:06 |
ocaml-ssl-dev-0.7.0-r0.apk | 208.7 KiB | 2024-Oct-25 23:06 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4.2 KiB | 2024-Oct-25 23:06 |
ocaml-stk-0.1.0-r0.apk | 6.1 MiB | 2024-Oct-25 23:06 |
ocaml-stk-dev-0.1.0-r0.apk | 9.9 MiB | 2024-Oct-25 23:06 |
ocaml-stringext-1.6.0-r2.apk | 42.8 KiB | 2024-Oct-25 23:06 |
ocaml-stringext-dev-1.6.0-r2.apk | 77.8 KiB | 2024-Oct-25 23:06 |
ocaml-tcpip-7.1.2-r3.apk | 1.2 MiB | 2024-Oct-25 23:06 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2.4 MiB | 2024-Oct-25 23:06 |
ocaml-tls-0.15.3-r4.apk | 1.2 MiB | 2024-Oct-25 23:06 |
ocaml-tls-dev-0.15.3-r4.apk | 2.4 MiB | 2024-Oct-25 23:06 |
ocaml-tophide-1.0.4-r2.apk | 5.6 KiB | 2024-Oct-25 23:06 |
ocaml-topkg-1.0.5-r2.apk | 613.2 KiB | 2024-Oct-25 23:06 |
ocaml-topkg-dev-1.0.5-r2.apk | 406.7 KiB | 2024-Oct-25 23:06 |
ocaml-trie-1.0.0-r2.apk | 15.8 KiB | 2024-Oct-25 23:06 |
ocaml-trie-dev-1.0.0-r2.apk | 25.3 KiB | 2024-Oct-25 23:06 |
ocaml-tsdl-1.0.0-r0.apk | 919.4 KiB | 2024-Oct-25 23:06 |
ocaml-tsdl-dev-1.0.0-r0.apk | 575.8 KiB | 2024-Oct-25 23:06 |
ocaml-tsdl-image-0.6-r0.apk | 47.5 KiB | 2024-Oct-25 23:06 |
ocaml-tsdl-image-dev-0.6-r0.apk | 77.6 KiB | 2024-Oct-25 23:06 |
ocaml-tsdl-ttf-0.6-r0.apk | 62.2 KiB | 2024-Oct-25 23:06 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 109.1 KiB | 2024-Oct-25 23:06 |
ocaml-uri-4.2.0-r2.apk | 1.4 MiB | 2024-Oct-25 23:06 |
ocaml-uri-dev-4.2.0-r2.apk | 4.3 MiB | 2024-Oct-25 23:06 |
ocaml-utop-2.9.1-r4.apk | 349.2 KiB | 2024-Oct-25 23:06 |
ocaml-utop-dev-2.9.1-r4.apk | 762.8 KiB | 2024-Oct-25 23:06 |
ocaml-uucd-14.0.0-r2.apk | 278.8 KiB | 2024-Oct-25 23:06 |
ocaml-uucd-dev-14.0.0-r2.apk | 172.4 KiB | 2024-Oct-25 23:06 |
ocaml-uucp-14.0.0-r2.apk | 5.1 MiB | 2024-Oct-25 23:06 |
ocaml-uucp-dev-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocaml-uuidm-0.9.8-r2.apk | 45.3 KiB | 2024-Oct-25 23:06 |
ocaml-uuidm-dev-0.9.8-r2.apk | 24.8 KiB | 2024-Oct-25 23:06 |
ocaml-uuidm-tools-0.9.8-r2.apk | 440.8 KiB | 2024-Oct-25 23:06 |
ocaml-uunf-14.0.0-r2.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocaml-uunf-dev-14.0.0-r2.apk | 222.5 KiB | 2024-Oct-25 23:06 |
ocaml-uuseg-14.0.0-r2.apk | 92.2 KiB | 2024-Oct-25 23:06 |
ocaml-uuseg-dev-14.0.0-r2.apk | 46.0 KiB | 2024-Oct-25 23:06 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1.3 MiB | 2024-Oct-25 23:06 |
ocaml-uutf-1.0.3-r2.apk | 619.9 KiB | 2024-Oct-25 23:06 |
ocaml-uutf-dev-1.0.3-r2.apk | 55.2 KiB | 2024-Oct-25 23:06 |
ocaml-x509-0.16.0-r2.apk | 835.7 KiB | 2024-Oct-25 23:06 |
ocaml-x509-dev-0.16.0-r2.apk | 1.5 MiB | 2024-Oct-25 23:06 |
ocaml-xml-light-2.5-r0.apk | 216.7 KiB | 2024-Oct-25 23:06 |
ocaml-xml-light-dev-2.5-r0.apk | 385.6 KiB | 2024-Oct-25 23:06 |
ocaml-xmlm-1.4.0-r2.apk | 555.7 KiB | 2024-Oct-25 23:06 |
ocaml-xmlm-dev-1.4.0-r2.apk | 99.7 KiB | 2024-Oct-25 23:06 |
ocaml-xtmpl-0.19.0-r0.apk | 8.9 MiB | 2024-Oct-25 23:06 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 776.8 KiB | 2024-Oct-25 23:06 |
ocaml-yojson-2.1.2-r0.apk | 1.0 MiB | 2024-Oct-25 23:06 |
ocaml-yojson-dev-2.1.2-r0.apk | 1.7 MiB | 2024-Oct-25 23:06 |
ocaml-zed-3.1.0-r3.apk | 518.5 KiB | 2024-Oct-25 23:06 |
ocaml-zed-dev-3.1.0-r3.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocamlnet-4.1.9-r2.apk | 16.5 MiB | 2024-Oct-25 23:06 |
ocamlnet-dev-4.1.9-r2.apk | 5.9 MiB | 2024-Oct-25 23:06 |
ocamlnet-tcl-4.1.9-r2.apk | 49.6 KiB | 2024-Oct-25 23:06 |
ocfs2-tools-1.8.7-r4.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocfs2-tools-dev-1.8.7-r4.apk | 44.9 KiB | 2024-Oct-25 23:06 |
ocfs2-tools-doc-1.8.7-r4.apk | 69.4 KiB | 2024-Oct-25 23:06 |
ocp-indent-1.8.2-r2.apk | 1.1 MiB | 2024-Oct-25 23:06 |
ocp-indent-doc-1.8.2-r2.apk | 17.0 KiB | 2024-Oct-25 23:06 |
ocp-indent-emacs-1.8.2-r2.apk | 3.7 KiB | 2024-Oct-25 23:06 |
ocp-indent-vim-1.8.2-r2.apk | 2.4 KiB | 2024-Oct-25 23:06 |
ocp-index-1.3.6-r0.apk | 5.5 MiB | 2024-Oct-25 23:06 |
ocp-index-doc-1.3.6-r0.apk | 30.6 KiB | 2024-Oct-25 23:06 |
ocp-index-emacs-1.3.6-r0.apk | 6.3 KiB | 2024-Oct-25 23:06 |
ocp-index-vim-1.3.6-r0.apk | 3.0 KiB | 2024-Oct-25 23:06 |
octoprint-1.10.3-r0.apk | 3.0 MiB | 2024-Dec-26 01:04 |
octoprint-creality2xfix-0.0.4-r2.apk | 4.7 KiB | 2024-Oct-25 23:06 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3.3 KiB | 2024-Oct-25 23:06 |
octoprint-filecheck-2024.11.12-r0.apk | 28.0 KiB | 2025-Jul-15 11:22 |
octoprint-filecheck-pyc-2024.11.12-r0.apk | 11.6 KiB | 2025-Jul-15 11:22 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 29.3 KiB | 2024-Oct-25 23:06 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17.6 KiB | 2024-Oct-25 23:06 |
octoprint-openrc-1.10.3-r0.apk | 1.7 KiB | 2024-Dec-26 01:04 |
octoprint-pisupport-2023.10.10-r1.apk | 30.8 KiB | 2024-Oct-25 23:06 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 12.8 KiB | 2024-Oct-25 23:06 |
octoprint-pyc-1.10.3-r0.apk | 1.2 MiB | 2024-Dec-26 01:04 |
oil-0.21.0-r0.apk | 1.4 MiB | 2024-Oct-25 23:06 |
oil-doc-0.21.0-r0.apk | 7.0 KiB | 2024-Oct-25 23:06 |
oils-for-unix-0.34.0-r0.apk | 694.7 KiB | 2025-Jul-24 04:27 |
oils-for-unix-bash-0.34.0-r0.apk | 1.5 KiB | 2025-Jul-24 04:27 |
oils-for-unix-binsh-0.34.0-r0.apk | 1.5 KiB | 2025-Jul-24 04:27 |
oils-for-unix-doc-0.34.0-r0.apk | 7.0 KiB | 2025-Jul-24 04:27 |
ol-2.6-r0.apk | 1.0 MiB | 2025-May-01 09:25 |
ol-dev-2.6-r0.apk | 15.8 KiB | 2025-May-01 09:25 |
ol-doc-2.6-r0.apk | 2.6 KiB | 2025-May-01 09:25 |
olab-0.1.8-r0.apk | 3.4 MiB | 2024-Oct-25 23:06 |
olsrd-0.9.8-r3.apk | 168.0 KiB | 2024-Oct-25 23:06 |
olsrd-doc-0.9.8-r3.apk | 25.1 KiB | 2024-Oct-25 23:06 |
olsrd-openrc-0.9.8-r3.apk | 1.9 KiB | 2024-Oct-25 23:06 |
olsrd-plugins-0.9.8-r3.apk | 182.9 KiB | 2024-Oct-25 23:06 |
oniux-0.5.0-r0.apk | 5.7 MiB | 2025-May-25 18:28 |
oniux-doc-0.5.0-r0.apk | 3.1 KiB | 2025-May-25 18:28 |
onnxruntime-1.22.1-r0.apk | 5.4 MiB | 2025-Jul-15 11:22 |
onnxruntime-dev-1.22.1-r0.apk | 114.8 KiB | 2025-Jul-15 11:22 |
opcr-policy-0.3.0-r5.apk | 8.6 MiB | 2025-Jul-10 05:28 |
openapi-validator-1.19.2-r0.apk | 9.9 MiB | 2024-Oct-25 23:06 |
opendht-3.1.11-r0.apk | 176.6 KiB | 2025-Jan-27 23:56 |
opendht-dev-3.1.11-r0.apk | 70.9 KiB | 2025-Jan-27 23:56 |
opendht-doc-3.1.11-r0.apk | 3.0 KiB | 2025-Jan-27 23:56 |
opendht-libs-3.1.11-r0.apk | 556.1 KiB | 2025-Jan-27 23:56 |
openfortivpn-1.22.1-r0.apk | 40.8 KiB | 2024-Dec-12 00:31 |
openfortivpn-doc-1.22.1-r0.apk | 6.3 KiB | 2024-Dec-12 00:31 |
openfpgaloader-0.11.0-r0.apk | 2.0 MiB | 2024-Oct-25 23:06 |
openocd-esp32-0_git20250422-r1.apk | 2.0 MiB | 2025-Jul-05 22:52 |
openocd-esp32-dev-0_git20250422-r1.apk | 3.7 KiB | 2025-Jul-05 22:52 |
openocd-esp32-doc-0_git20250422-r1.apk | 3.2 KiB | 2025-Jul-05 22:52 |
openocd-esp32-udev-rules-0_git20250422-r1.apk | 3.5 KiB | 2025-Jul-05 22:52 |
openocd-git-0_git20240113-r1.apk | 1.7 MiB | 2024-Oct-25 23:06 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1.5 KiB | 2024-Oct-25 23:06 |
openocd-git-dbg-0_git20240113-r1.apk | 4.0 MiB | 2024-Oct-25 23:06 |
openocd-git-dev-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 23:06 |
openocd-git-doc-0_git20240113-r1.apk | 3.3 KiB | 2024-Oct-25 23:06 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3.4 KiB | 2024-Oct-25 23:06 |
openocd-riscv-0_git20230104-r2.apk | 1.6 MiB | 2024-Oct-25 23:06 |
openocd-riscv-dev-0_git20230104-r2.apk | 3.7 KiB | 2024-Oct-25 23:06 |
openocd-riscv-doc-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 23:06 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3.3 KiB | 2024-Oct-25 23:06 |
openrdap-0.9.1-r0.apk | 3.3 MiB | 2025-Jun-24 18:50 |
openrdap-doc-0.9.1-r0.apk | 2.2 KiB | 2025-Jun-24 18:50 |
openscap-daemon-0.1.10-r9.apk | 60.2 KiB | 2024-Oct-25 23:06 |
openscap-daemon-doc-0.1.10-r9.apk | 17.5 KiB | 2024-Oct-25 23:06 |
openscap-daemon-pyc-0.1.10-r9.apk | 101.9 KiB | 2024-Oct-25 23:06 |
openslide-3.4.1-r3.apk | 84.3 KiB | 2024-Oct-25 23:06 |
openslide-dev-3.4.1-r3.apk | 6.9 KiB | 2024-Oct-25 23:06 |
openslide-doc-3.4.1-r3.apk | 4.9 KiB | 2024-Oct-25 23:06 |
openslide-tools-3.4.1-r3.apk | 10.2 KiB | 2024-Oct-25 23:06 |
openswitcher-0.5.0-r4.apk | 148.4 KiB | 2024-Oct-25 23:06 |
openswitcher-proxy-0.5.0-r4.apk | 9.4 KiB | 2024-Oct-25 23:06 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 2.0 KiB | 2024-Oct-25 23:06 |
opentelemetry-cpp-1.22.0-r0.apk | 573.9 KiB | 2025-Jul-24 04:27 |
opentelemetry-cpp-dev-1.22.0-r0.apk | 505.3 KiB | 2025-Jul-24 04:27 |
opentelemetry-cpp-exporter-otlp-common-1.22.0-r0..> | 44.2 KiB | 2025-Jul-24 04:27 |
opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r0.apk | 51.9 KiB | 2025-Jul-24 04:27 |
opentelemetry-cpp-exporter-otlp-http-1.22.0-r0.apk | 78.2 KiB | 2025-Jul-24 04:27 |
opentelemetry-cpp-exporter-zipkin-1.22.0-r0.apk | 45.7 KiB | 2025-Jul-24 04:27 |
openvpn3-3.8.5-r1.apk | 377.4 KiB | 2025-Feb-17 15:07 |
openvpn3-dev-3.8.5-r1.apk | 667.0 KiB | 2025-Feb-17 15:07 |
openwsman-2.8.1-r1.apk | 45.6 KiB | 2025-Jun-30 10:14 |
openwsman-dev-2.8.1-r1.apk | 55.7 KiB | 2025-Jun-30 10:14 |
openwsman-doc-2.8.1-r1.apk | 2.4 KiB | 2025-Jun-30 10:14 |
openwsman-libs-2.8.1-r1.apk | 305.2 KiB | 2025-Jun-30 10:14 |
opkg-0.7.0-r0.apk | 10.0 KiB | 2024-Oct-25 23:06 |
opkg-dev-0.7.0-r0.apk | 105.8 KiB | 2024-Oct-25 23:06 |
opkg-doc-0.7.0-r0.apk | 7.7 KiB | 2024-Oct-25 23:06 |
opkg-libs-0.7.0-r0.apk | 75.7 KiB | 2024-Oct-25 23:06 |
opkg-utils-0.7.0-r0.apk | 25.2 KiB | 2024-Oct-25 23:06 |
opkg-utils-doc-0.7.0-r0.apk | 3.6 KiB | 2024-Oct-25 23:06 |
opmsg-1.84-r1.apk | 256.4 KiB | 2024-Oct-25 23:06 |
orage-4.20.1-r0.apk | 576.9 KiB | 2025-Apr-08 14:49 |
orage-lang-4.20.1-r0.apk | 1.2 MiB | 2025-Apr-08 14:49 |
osmctools-0.9-r0.apk | 134.3 KiB | 2024-Oct-25 23:06 |
ostui-1.0.3-r2.apk | 4.7 MiB | 2025-May-15 00:14 |
ostui-doc-1.0.3-r2.apk | 27.9 KiB | 2025-May-15 00:14 |
otf-0.3.23-r1.apk | 1.3 KiB | 2025-Jul-10 05:28 |
otf-agent-0.3.23-r1.apk | 8.0 MiB | 2025-Jul-10 05:28 |
otf-agent-openrc-0.3.23-r1.apk | 2.0 KiB | 2025-Jul-10 05:28 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 101.6 KiB | 2024-Oct-25 23:06 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 47.7 KiB | 2024-Oct-25 23:06 |
otf-cli-0.3.23-r1.apk | 7.9 MiB | 2025-Jul-10 05:28 |
otf-server-0.3.23-r1.apk | 12.4 MiB | 2025-Jul-10 05:28 |
otf-server-openrc-0.3.23-r1.apk | 2.0 KiB | 2025-Jul-10 05:28 |
otpclient-4.1.1-r0.apk | 107.8 KiB | 2025-Jul-24 04:27 |
otpclient-doc-4.1.1-r0.apk | 3.6 KiB | 2025-Jul-24 04:27 |
otree-0.4.0-r0.apk | 1.1 MiB | 2025-Jul-15 11:22 |
otree-doc-0.4.0-r0.apk | 3.3 KiB | 2025-Jul-15 11:22 |
otrs-6.0.48-r2.apk | 28.7 MiB | 2024-Oct-25 23:06 |
otrs-apache2-6.0.48-r2.apk | 4.0 KiB | 2024-Oct-25 23:06 |
otrs-bash-completion-6.0.48-r2.apk | 2.4 KiB | 2024-Oct-25 23:06 |
otrs-dev-6.0.48-r2.apk | 3.9 MiB | 2024-Oct-25 23:06 |
otrs-doc-6.0.48-r2.apk | 795.1 KiB | 2024-Oct-25 23:06 |
otrs-fastcgi-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 23:06 |
otrs-nginx-6.0.48-r2.apk | 1.8 KiB | 2024-Oct-25 23:06 |
otrs-openrc-6.0.48-r2.apk | 1.9 KiB | 2024-Oct-25 23:06 |
otrs-setup-6.0.48-r2.apk | 107.3 KiB | 2024-Oct-25 23:06 |
ouch-0.6.1-r0.apk | 1.7 MiB | 2025-May-28 10:38 |
ouch-bash-completion-0.6.1-r0.apk | 2.5 KiB | 2025-May-28 10:38 |
ouch-doc-0.6.1-r0.apk | 3.8 KiB | 2025-May-28 10:38 |
ouch-fish-completion-0.6.1-r0.apk | 3.0 KiB | 2025-May-28 10:38 |
ouch-zsh-completion-0.6.1-r0.apk | 3.1 KiB | 2025-May-28 10:38 |
ovn-24.03.1-r0.apk | 6.5 MiB | 2024-Oct-25 23:06 |
ovn-dbg-24.03.1-r0.apk | 25.9 MiB | 2024-Oct-25 23:07 |
ovn-dev-24.03.1-r0.apk | 9.9 MiB | 2024-Oct-25 23:07 |
ovn-doc-24.03.1-r0.apk | 511.9 KiB | 2024-Oct-25 23:07 |
ovn-openrc-24.03.1-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
ovos-audio-1.0.1-r0.apk | 136.3 KiB | 2025-Jul-15 23:03 |
ovos-audio-pyc-1.0.1-r0.apk | 35.8 KiB | 2025-Jul-15 23:03 |
ovos-core-1.3.1-r0.apk | 51.1 KiB | 2025-May-26 15:11 |
ovos-core-pyc-1.3.1-r0.apk | 63.6 KiB | 2025-May-26 15:11 |
ovos-gui-1.3.3-r0.apk | 37.9 KiB | 2025-Jul-15 23:03 |
ovos-gui-pyc-1.3.3-r0.apk | 38.4 KiB | 2025-Jul-15 23:03 |
ovos-messagebus-0.0.10-r0.apk | 10.0 KiB | 2025-Apr-08 10:43 |
ovos-messagebus-pyc-0.0.10-r0.apk | 6.6 KiB | 2025-Apr-08 10:43 |
ovos-phal-0.2.10-r0.apk | 10.5 KiB | 2025-Jul-15 22:29 |
ovos-phal-pyc-0.2.10-r0.apk | 7.2 KiB | 2025-Jul-15 22:29 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.6 KiB | 2024-Oct-25 23:07 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4.0 KiB | 2024-Oct-25 23:07 |
ovpncc-0.1_rc1-r0.apk | 11.9 KiB | 2024-Oct-25 23:07 |
ovpncc-doc-0.1_rc1-r0.apk | 6.5 KiB | 2024-Oct-25 23:07 |
oxygen-icons-6.1.0-r0.apk | 31.6 MiB | 2024-Oct-25 23:07 |
p0f-3.09b-r3.apk | 88.1 KiB | 2024-Oct-25 23:07 |
p0f-doc-3.09b-r3.apk | 25.3 KiB | 2024-Oct-25 23:07 |
p910nd-0.97-r2.apk | 7.2 KiB | 2024-Oct-25 23:07 |
p910nd-doc-0.97-r2.apk | 3.0 KiB | 2024-Oct-25 23:07 |
p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2024-Oct-25 23:07 |
pacparser-1.4.5-r1.apk | 728.5 KiB | 2024-Oct-25 23:07 |
pacparser-dev-1.4.5-r1.apk | 3.6 KiB | 2024-Oct-25 23:07 |
pacparser-doc-1.4.5-r1.apk | 17.8 KiB | 2024-Oct-25 23:07 |
pam-krb5-4.11-r1.apk | 21.3 KiB | 2024-Oct-25 23:07 |
pam-krb5-doc-4.11-r1.apk | 23.6 KiB | 2024-Oct-25 23:07 |
pam-pkcs11-0.6.13-r0.apk | 242.5 KiB | 2025-Jun-10 22:49 |
pam-pkcs11-doc-0.6.13-r0.apk | 14.2 KiB | 2025-Jun-10 22:49 |
pam_sqlite3-1.0.2-r2.apk | 8.3 KiB | 2024-Oct-25 23:07 |
pamtester-0.1.2-r4.apk | 8.4 KiB | 2024-Oct-25 23:07 |
pamtester-doc-0.1.2-r4.apk | 2.9 KiB | 2024-Oct-25 23:07 |
pantalaimon-0.10.5-r4.apk | 44.8 KiB | 2024-Oct-25 23:07 |
pantalaimon-doc-0.10.5-r4.apk | 6.4 KiB | 2024-Oct-25 23:07 |
pantalaimon-pyc-0.10.5-r4.apk | 82.9 KiB | 2024-Oct-25 23:07 |
pantalaimon-ui-0.10.5-r4.apk | 1.7 KiB | 2024-Oct-25 23:07 |
paperkey-1.6-r2.apk | 16.4 KiB | 2024-Oct-25 23:07 |
paperkey-doc-1.6-r2.apk | 4.5 KiB | 2024-Oct-25 23:07 |
paprefs-1.2-r2.apk | 28.7 KiB | 2024-Nov-23 01:07 |
paprefs-lang-1.2-r2.apk | 37.9 KiB | 2024-Nov-23 01:07 |
par-1.53.0-r1.apk | 13.9 KiB | 2024-Oct-25 23:07 |
par-doc-1.53.0-r1.apk | 30.3 KiB | 2024-Oct-25 23:07 |
par2cmdline-turbo-1.3.0-r0.apk | 182.7 KiB | 2025-May-15 00:14 |
par2cmdline-turbo-doc-1.3.0-r0.apk | 5.9 KiB | 2025-May-15 00:14 |
parcellite-1.2.5-r0.apk | 224.3 KiB | 2024-Oct-25 23:07 |
parcellite-doc-1.2.5-r0.apk | 25.2 KiB | 2024-Oct-25 23:07 |
parcellite-lang-1.2.5-r0.apk | 48.8 KiB | 2024-Oct-25 23:07 |
parse-changelog-0.6.12-r0.apk | 568.6 KiB | 2025-May-19 01:18 |
pash-2.3.0-r2.apk | 4.3 KiB | 2024-Oct-25 23:07 |
pasystray-0.8.2-r0.apk | 44.2 KiB | 2024-Oct-25 23:07 |
pasystray-doc-0.8.2-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
pcl-1.14.1-r0.apk | 433.5 KiB | 2025-Feb-17 15:07 |
pcl-dev-1.14.1-r0.apk | 386.9 KiB | 2025-Feb-17 15:07 |
pcl-libs-1.14.1-r0.apk | 1.2 MiB | 2025-Feb-17 15:07 |
pdal-python-plugins-1.6.5-r0.apk | 227.0 KiB | 2025-Jun-22 00:24 |
pdf2svg-0.2.3-r1.apk | 4.5 KiB | 2024-Oct-25 23:07 |
pdfcrack-0.20-r0.apk | 24.3 KiB | 2024-Oct-25 23:07 |
pebble-le-0.3.0-r2.apk | 63.9 KiB | 2024-Dec-14 21:56 |
pebble-le-dev-0.3.0-r2.apk | 43.5 KiB | 2024-Dec-14 21:56 |
pebble-le-doc-0.3.0-r2.apk | 3.7 KiB | 2024-Dec-14 21:56 |
peervpn-0.044-r5.apk | 39.5 KiB | 2024-Oct-25 23:07 |
peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2024-Oct-25 23:07 |
peg-0.1.18-r1.apk | 33.9 KiB | 2024-Oct-25 23:07 |
peg-doc-0.1.18-r1.apk | 13.7 KiB | 2024-Oct-25 23:07 |
percona-toolkit-3.5.4-r1.apk | 1.8 MiB | 2024-Oct-25 23:07 |
percona-toolkit-doc-3.5.4-r1.apk | 297.5 KiB | 2024-Oct-25 23:07 |
perl-adapter-async-0.019-r0.apk | 8.1 KiB | 2024-Oct-25 23:07 |
perl-adapter-async-doc-0.019-r0.apk | 16.7 KiB | 2024-Oct-25 23:07 |
perl-algorithm-backoff-0.010-r0.apk | 9.6 KiB | 2024-Oct-25 23:07 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29.5 KiB | 2024-Oct-25 23:07 |
perl-algorithm-c3-0.11-r1.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-algorithm-c3-doc-0.11-r1.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-algorithm-cron-0.10-r4.apk | 6.1 KiB | 2024-Oct-25 23:07 |
perl-algorithm-cron-doc-0.10-r4.apk | 4.6 KiB | 2024-Oct-25 23:07 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 78.7 KiB | 2024-Oct-25 23:07 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 147.7 KiB | 2024-Oct-25 23:07 |
perl-algorithm-permute-0.17-r1.apk | 11.4 KiB | 2025-Jun-30 10:14 |
perl-algorithm-permute-doc-0.17-r1.apk | 5.1 KiB | 2025-Jun-30 10:14 |
perl-aliased-0.34-r4.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-aliased-doc-0.34-r4.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-alien-base-modulebuild-1.17-r0.apk | 21.7 KiB | 2025-Jun-08 19:14 |
perl-alien-base-modulebuild-doc-1.17-r0.apk | 53.4 KiB | 2025-Jun-08 19:14 |
perl-alien-libgumbo-0.05-r1.apk | 557.5 KiB | 2025-Jun-30 10:14 |
perl-alien-libgumbo-doc-0.05-r1.apk | 4.8 KiB | 2025-Jun-30 10:14 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4.0 KiB | 2024-Oct-25 23:07 |
perl-anyevent-future-0.05-r0.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-anyevent-future-doc-0.05-r0.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-anyevent-riperedis-0.48-r0.apk | 12.4 KiB | 2024-Oct-25 23:07 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10.3 KiB | 2024-Oct-25 23:07 |
perl-app-a2p-1.013-r0.apk | 43.3 KiB | 2025-Jul-24 04:27 |
perl-app-a2p-doc-1.013-r0.apk | 5.4 KiB | 2025-Jul-24 04:27 |
perl-app-find2perl-1.005-r0.apk | 8.5 KiB | 2025-Jul-24 04:27 |
perl-app-find2perl-doc-1.005-r0.apk | 5.9 KiB | 2025-Jul-24 04:27 |
perl-archive-any-lite-0.11-r0.apk | 4.1 KiB | 2025-Jul-15 11:22 |
perl-archive-any-lite-doc-0.11-r0.apk | 3.7 KiB | 2025-Jul-15 11:22 |
perl-archive-extract-0.88-r1.apk | 15.7 KiB | 2024-Oct-25 23:07 |
perl-archive-extract-doc-0.88-r1.apk | 6.8 KiB | 2024-Oct-25 23:07 |
perl-array-diff-0.09-r0.apk | 3.3 KiB | 2025-Jul-10 05:28 |
perl-array-diff-doc-0.09-r0.apk | 3.9 KiB | 2025-Jul-10 05:28 |
perl-asa-1.04-r0.apk | 4.3 KiB | 2025-Jun-11 19:34 |
perl-asa-doc-1.04-r0.apk | 5.0 KiB | 2025-Jun-11 19:34 |
perl-astro-0.78-r0.apk | 32.0 KiB | 2025-Jul-24 04:27 |
perl-astro-coords-0.22-r0.apk | 52.6 KiB | 2025-Jul-24 04:27 |
perl-astro-coords-doc-0.22-r0.apk | 40.3 KiB | 2025-Jul-24 04:27 |
perl-astro-doc-0.78-r0.apk | 13.8 KiB | 2025-Jul-24 04:27 |
perl-astro-montenbruck-1.26-r0.apk | 53.4 KiB | 2025-Jul-24 04:27 |
perl-astro-montenbruck-doc-1.26-r0.apk | 59.7 KiB | 2025-Jul-24 04:27 |
perl-astro-pal-1.09-r0.apk | 203.8 KiB | 2025-Jul-24 04:27 |
perl-astro-pal-doc-1.09-r0.apk | 7.4 KiB | 2025-Jul-24 04:27 |
perl-astro-satpass-0.133-r0.apk | 218.1 KiB | 2025-Jul-24 04:27 |
perl-astro-satpass-doc-0.133-r0.apk | 132.9 KiB | 2025-Jul-24 04:27 |
perl-astro-telescope-0.71-r0.apk | 48.3 KiB | 2025-Jul-24 04:27 |
perl-astro-telescope-doc-0.71-r0.apk | 5.0 KiB | 2025-Jul-24 04:27 |
perl-autobox-3.0.2-r1.apk | 18.2 KiB | 2025-Jun-30 10:14 |
perl-autobox-doc-3.0.2-r1.apk | 8.9 KiB | 2025-Jun-30 10:14 |
perl-b-hooks-op-check-0.22-r1.apk | 6.3 KiB | 2025-Jun-30 10:14 |
perl-b-hooks-op-check-doc-0.22-r1.apk | 3.8 KiB | 2025-Jun-30 10:14 |
perl-b-utils-0.27-r1.apk | 18.7 KiB | 2025-Jun-30 10:14 |
perl-b-utils-doc-0.27-r1.apk | 9.5 KiB | 2025-Jun-30 10:14 |
perl-badger-0.16-r0.apk | 253.1 KiB | 2025-Jun-15 17:50 |
perl-badger-doc-0.16-r0.apk | 260.1 KiB | 2025-Jun-15 17:50 |
perl-barcode-zbar-0.10-r4.apk | 28.6 KiB | 2025-Jun-30 10:14 |
perl-barcode-zbar-doc-0.10-r4.apk | 12.7 KiB | 2025-Jun-30 10:14 |
perl-bareword-filehandles-0.007-r1.apk | 5.6 KiB | 2025-Jun-30 10:14 |
perl-bareword-filehandles-doc-0.007-r1.apk | 3.2 KiB | 2025-Jun-30 10:14 |
perl-bind-config-parser-0.01-r5.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-bind-config-parser-doc-0.01-r5.apk | 3.6 KiB | 2024-Oct-25 23:07 |
perl-bsd-resource-1.2911-r11.apk | 18.7 KiB | 2025-Jun-30 10:14 |
perl-bsd-resource-doc-1.2911-r11.apk | 7.9 KiB | 2025-Jun-30 10:14 |
perl-bytes-random-secure-0.29-r0.apk | 14.3 KiB | 2024-Oct-25 23:07 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12.1 KiB | 2024-Oct-25 23:07 |
perl-cache-lru-0.04-r0.apk | 2.9 KiB | 2024-Oct-25 23:07 |
perl-cache-lru-doc-0.04-r0.apk | 3.2 KiB | 2024-Oct-25 23:07 |
perl-cairo-1.109-r5.apk | 69.2 KiB | 2025-Jun-30 10:14 |
perl-cairo-doc-1.109-r5.apk | 14.0 KiB | 2025-Jun-30 10:14 |
perl-cairo-gobject-1.005-r5.apk | 6.0 KiB | 2025-Jun-30 10:14 |
perl-cairo-gobject-doc-1.005-r5.apk | 3.0 KiB | 2025-Jun-30 10:14 |
perl-carp-assert-more-2.9.0-r0.apk | 9.0 KiB | 2025-Apr-13 17:57 |
perl-carp-assert-more-doc-2.9.0-r0.apk | 7.8 KiB | 2025-Apr-13 17:57 |
perl-carp-repl-0.18-r0.apk | 6.0 KiB | 2025-Apr-29 22:46 |
perl-carp-repl-doc-0.18-r0.apk | 5.9 KiB | 2025-Apr-29 22:46 |
perl-catalyst-action-renderview-0.17-r0.apk | 3.8 KiB | 2025-Mar-19 17:53 |
perl-catalyst-action-renderview-doc-0.17-r0.apk | 4.0 KiB | 2025-Mar-19 17:53 |
perl-catalyst-action-rest-1.21-r0.apk | 25.4 KiB | 2025-Apr-01 10:19 |
perl-catalyst-action-rest-doc-1.21-r0.apk | 27.1 KiB | 2025-Apr-01 10:19 |
perl-catalyst-actionrole-acl-0.07-r0.apk | 4.3 KiB | 2025-May-15 00:14 |
perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5.7 KiB | 2025-May-15 00:14 |
perl-catalyst-authentication-credential-http-1.0..> | 8.6 KiB | 2025-Apr-04 08:40 |
perl-catalyst-authentication-credential-http-doc..> | 6.8 KiB | 2025-Apr-04 08:40 |
perl-catalyst-authentication-store-dbix-class-0...> | 13.3 KiB | 2025-Jun-11 19:35 |
perl-catalyst-authentication-store-dbix-class-do..> | 13.9 KiB | 2025-Jun-11 19:35 |
perl-catalyst-component-instancepercontext-0.001..> | 2.7 KiB | 2025-Jun-19 13:55 |
perl-catalyst-component-instancepercontext-doc-0..> | 3.3 KiB | 2025-Jun-19 13:55 |
perl-catalyst-controller-actionrole-0.17-r0.apk | 5.0 KiB | 2025-Apr-04 08:41 |
perl-catalyst-controller-actionrole-doc-0.17-r0...> | 4.4 KiB | 2025-Apr-04 08:41 |
perl-catalyst-devel-1.42-r0.apk | 54.1 KiB | 2025-Mar-20 19:04 |
perl-catalyst-devel-doc-1.42-r0.apk | 11.4 KiB | 2025-Mar-20 19:04 |
perl-catalyst-manual-5.9013-r0.apk | 2.7 KiB | 2025-Apr-04 08:22 |
perl-catalyst-manual-doc-5.9013-r0.apk | 375.1 KiB | 2025-Apr-04 08:22 |
perl-catalyst-model-adaptor-0.10-r0.apk | 6.5 KiB | 2025-Apr-13 17:57 |
perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12.1 KiB | 2025-Apr-13 17:57 |
perl-catalyst-model-dbic-schema-0.66-r0.apk | 19.1 KiB | 2025-Jun-11 19:35 |
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 17.9 KiB | 2025-Jun-11 19:35 |
perl-catalyst-plugin-authentication-0.10024-r0.apk | 32.2 KiB | 2025-Mar-29 11:34 |
perl-catalyst-plugin-authentication-doc-0.10024-..> | 55.9 KiB | 2025-Mar-29 11:34 |
perl-catalyst-plugin-configloader-0.35-r0.apk | 5.4 KiB | 2025-Mar-20 19:04 |
perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10.3 KiB | 2025-Mar-20 19:04 |
perl-catalyst-plugin-i18n-0.10-r0.apk | 4.1 KiB | 2025-Apr-04 11:55 |
perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13.3 KiB | 2025-Apr-04 11:55 |
perl-catalyst-plugin-session-0.43-r0.apk | 14.4 KiB | 2025-Apr-04 14:56 |
perl-catalyst-plugin-session-doc-0.43-r0.apk | 25.1 KiB | 2025-Apr-04 14:56 |
perl-catalyst-plugin-session-state-cookie-0.18-r..> | 4.9 KiB | 2025-Apr-20 07:32 |
perl-catalyst-plugin-session-state-cookie-doc-0...> | 4.8 KiB | 2025-Apr-20 07:32 |
perl-catalyst-plugin-session-store-dbic-0.14-r0...> | 5.9 KiB | 2025-Jun-13 21:17 |
perl-catalyst-plugin-session-store-dbic-doc-0.14..> | 6.4 KiB | 2025-Jun-13 21:17 |
perl-catalyst-plugin-session-store-delegate-0.06..> | 4.5 KiB | 2025-Jun-13 21:17 |
perl-catalyst-plugin-session-store-delegate-doc-..> | 4.5 KiB | 2025-Jun-13 21:17 |
perl-catalyst-plugin-session-store-file-0.18-r0...> | 3.6 KiB | 2025-Jun-14 05:46 |
perl-catalyst-plugin-session-store-file-doc-0.18..> | 4.0 KiB | 2025-Jun-14 05:46 |
perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4.7 KiB | 2025-Jun-14 05:46 |
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3.9 KiB | 2025-Jun-14 05:46 |
perl-catalyst-plugin-static-simple-0.37-r0.apk | 8.7 KiB | 2025-Mar-20 19:04 |
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7.4 KiB | 2025-Mar-20 19:04 |
perl-catalyst-runtime-5.90132-r0.apk | 149.7 KiB | 2025-Mar-19 14:44 |
perl-catalyst-runtime-doc-5.90132-r0.apk | 216.3 KiB | 2025-Mar-19 14:44 |
perl-catalyst-view-email-0.36-r0.apk | 9.1 KiB | 2025-Apr-04 11:55 |
perl-catalyst-view-email-doc-0.36-r0.apk | 10.8 KiB | 2025-Apr-04 11:55 |
perl-catalyst-view-tt-0.46-r0.apk | 13.6 KiB | 2025-Apr-12 18:51 |
perl-catalyst-view-tt-doc-0.46-r0.apk | 12.7 KiB | 2025-Apr-12 18:51 |
perl-catalystx-component-traits-0.19-r0.apk | 4.3 KiB | 2025-May-15 00:14 |
perl-catalystx-component-traits-doc-0.19-r0.apk | 4.0 KiB | 2025-May-15 00:14 |
perl-catalystx-injectcomponent-0.025-r0.apk | 3.4 KiB | 2025-May-01 09:34 |
perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3.6 KiB | 2025-May-01 09:34 |
perl-catalystx-leakchecker-0.06-r0.apk | 3.4 KiB | 2025-Jun-15 07:46 |
perl-catalystx-leakchecker-doc-0.06-r0.apk | 3.5 KiB | 2025-Jun-15 07:46 |
perl-catalystx-profile-0.02-r0.apk | 3.1 KiB | 2025-Jun-15 07:46 |
perl-catalystx-profile-doc-0.02-r0.apk | 4.5 KiB | 2025-Jun-15 07:46 |
perl-catalystx-repl-0.04-r0.apk | 3.3 KiB | 2025-Apr-29 22:46 |
perl-catalystx-repl-doc-0.04-r0.apk | 3.6 KiB | 2025-Apr-29 22:46 |
perl-catalystx-simplelogin-0.21-r0.apk | 10.7 KiB | 2025-May-15 00:14 |
perl-catalystx-simplelogin-doc-0.21-r0.apk | 24.2 KiB | 2025-May-15 00:14 |
perl-cgi-expand-2.05-r4.apk | 6.8 KiB | 2024-Oct-25 23:07 |
perl-cgi-expand-doc-2.05-r4.apk | 6.1 KiB | 2024-Oct-25 23:07 |
perl-cgi-simple-1.281-r0.apk | 55.5 KiB | 2025-Mar-12 17:13 |
perl-cgi-simple-doc-1.281-r0.apk | 42.5 KiB | 2025-Mar-12 17:13 |
perl-cgi-struct-1.21-r0.apk | 7.8 KiB | 2025-Mar-14 19:31 |
perl-cgi-struct-doc-1.21-r0.apk | 6.8 KiB | 2025-Mar-14 19:31 |
perl-check-unitcheck-0.13-r2.apk | 5.7 KiB | 2025-Jun-30 10:14 |
perl-check-unitcheck-doc-0.13-r2.apk | 3.6 KiB | 2025-Jun-30 10:14 |
perl-class-accessor-grouped-0.10014-r2.apk | 12.0 KiB | 2024-Oct-25 23:07 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.5 KiB | 2024-Oct-25 23:07 |
perl-class-c3-0.35-r1.apk | 9.4 KiB | 2024-Oct-25 23:07 |
perl-class-c3-adopt-next-0.14-r0.apk | 5.1 KiB | 2025-Mar-14 19:31 |
perl-class-c3-adopt-next-doc-0.14-r0.apk | 4.7 KiB | 2025-Mar-14 19:31 |
perl-class-c3-componentised-1.001002-r2.apk | 5.5 KiB | 2024-Oct-25 23:07 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5.3 KiB | 2024-Oct-25 23:07 |
perl-class-c3-doc-0.35-r1.apk | 9.3 KiB | 2024-Oct-25 23:07 |
perl-class-inner-0.200001-r5.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-class-inner-doc-0.200001-r5.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-class-unload-0.11-r0.apk | 2.6 KiB | 2025-Jun-04 20:22 |
perl-class-unload-doc-0.11-r0.apk | 3.1 KiB | 2025-Jun-04 20:22 |
perl-cli-osprey-0.08-r0.apk | 12.7 KiB | 2024-Dec-28 07:54 |
perl-cli-osprey-doc-0.08-r0.apk | 12.1 KiB | 2024-Dec-28 07:54 |
perl-clipboard-0.32-r0.apk | 10.5 KiB | 2025-May-18 18:45 |
perl-clipboard-doc-0.32-r0.apk | 26.8 KiB | 2025-May-18 18:45 |
perl-color-ansi-util-0.165-r0.apk | 7.3 KiB | 2024-Oct-25 23:07 |
perl-color-ansi-util-doc-0.165-r0.apk | 5.2 KiB | 2024-Oct-25 23:07 |
perl-color-rgb-util-0.609-r0.apk | 9.5 KiB | 2025-Mar-19 14:44 |
perl-color-rgb-util-doc-0.609-r0.apk | 7.4 KiB | 2025-Mar-19 14:44 |
perl-conf-libconfig-1.0.3-r2.apk | 22.6 KiB | 2025-Jun-30 10:14 |
perl-conf-libconfig-doc-1.0.3-r2.apk | 5.5 KiB | 2025-Jun-30 10:14 |
perl-constant-defer-6-r5.apk | 7.4 KiB | 2024-Oct-25 23:07 |
perl-constant-defer-doc-6-r5.apk | 6.9 KiB | 2024-Oct-25 23:07 |
perl-constant-generate-0.17-r5.apk | 8.7 KiB | 2024-Oct-25 23:07 |
perl-constant-generate-doc-0.17-r5.apk | 7.0 KiB | 2024-Oct-25 23:07 |
perl-context-preserve-0.03-r4.apk | 3.8 KiB | 2024-Oct-25 23:07 |
perl-context-preserve-doc-0.03-r4.apk | 4.2 KiB | 2024-Oct-25 23:07 |
perl-cpan-changes-0.500004-r0.apk | 13.7 KiB | 2024-Oct-25 23:07 |
perl-cpan-changes-doc-0.500004-r0.apk | 18.2 KiB | 2024-Oct-25 23:07 |
perl-crypt-blowfish-2.14-r1.apk | 12.5 KiB | 2025-Jun-30 10:14 |
perl-crypt-blowfish-doc-2.14-r1.apk | 4.1 KiB | 2025-Jun-30 10:14 |
perl-crypt-random-seed-0.03-r0.apk | 11.2 KiB | 2024-Oct-25 23:07 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8.8 KiB | 2024-Oct-25 23:07 |
perl-crypt-saltedhash-0.09-r5.apk | 6.9 KiB | 2024-Oct-25 23:07 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6.3 KiB | 2024-Oct-25 23:07 |
perl-css-inliner-4027-r0.apk | 15.8 KiB | 2025-Jun-16 14:50 |
perl-css-inliner-doc-4027-r0.apk | 9.4 KiB | 2025-Jun-16 14:50 |
perl-css-object-0.2.0-r0.apk | 22.8 KiB | 2024-Oct-25 23:07 |
perl-css-object-doc-0.2.0-r0.apk | 32.6 KiB | 2024-Oct-25 23:07 |
perl-daemon-control-0.001010-r2.apk | 12.4 KiB | 2024-Oct-25 23:07 |
perl-daemon-control-doc-0.001010-r2.apk | 8.2 KiB | 2024-Oct-25 23:07 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.3 KiB | 2024-Oct-25 23:07 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.8 KiB | 2024-Oct-25 23:07 |
perl-dancer-plugin-dbic-0.2104-r5.apk | 4.9 KiB | 2024-Oct-25 23:07 |
perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.3 KiB | 2024-Oct-25 23:07 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.7 KiB | 2024-Oct-25 23:07 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.4 KiB | 2024-Oct-25 23:07 |
perl-dancer2-1.1.2-r0.apk | 163.0 KiB | 2024-Dec-28 07:54 |
perl-dancer2-doc-1.1.2-r0.apk | 300.8 KiB | 2024-Dec-28 07:54 |
perl-data-binary-0.01-r0.apk | 2.8 KiB | 2025-Jul-10 05:28 |
perl-data-binary-doc-0.01-r0.apk | 3.1 KiB | 2025-Jul-10 05:28 |
perl-data-checks-0.10-r1.apk | 20.5 KiB | 2025-Jun-30 10:14 |
perl-data-checks-doc-0.10-r1.apk | 8.3 KiB | 2025-Jun-30 10:14 |
perl-data-clone-0.006-r1.apk | 9.3 KiB | 2025-Jun-30 10:14 |
perl-data-clone-doc-0.006-r1.apk | 4.5 KiB | 2025-Jun-30 10:14 |
perl-data-dump-streamer-2.42-r1.apk | 49.3 KiB | 2025-Jun-30 10:14 |
perl-data-dump-streamer-doc-2.42-r1.apk | 17.3 KiB | 2025-Jun-30 10:14 |
perl-data-section-0.200008-r0.apk | 6.4 KiB | 2025-Jul-07 20:02 |
perl-data-section-doc-0.200008-r0.apk | 5.6 KiB | 2025-Jul-07 20:02 |
perl-data-validate-domain-0.15-r0.apk | 5.8 KiB | 2024-Oct-25 23:07 |
perl-data-validate-domain-doc-0.15-r0.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-data-validate-ip-0.31-r1.apk | 8.8 KiB | 2024-Oct-25 23:07 |
perl-data-validate-ip-doc-0.31-r1.apk | 5.8 KiB | 2024-Oct-25 23:07 |
perl-data-visitor-0.32-r0.apk | 9.8 KiB | 2025-Mar-19 17:53 |
perl-data-visitor-doc-0.32-r0.apk | 8.3 KiB | 2025-Mar-19 17:53 |
perl-database-async-0.019-r0.apk | 22.8 KiB | 2024-Oct-25 23:07 |
perl-database-async-doc-0.019-r0.apk | 29.2 KiB | 2024-Oct-25 23:07 |
perl-database-async-engine-postgresql-1.005-r0.apk | 13.9 KiB | 2024-Oct-25 23:07 |
perl-database-async-engine-postgresql-doc-1.005-..> | 9.3 KiB | 2024-Oct-25 23:07 |
perl-date-range-1.41-r0.apk | 3.8 KiB | 2025-Jul-08 19:53 |
perl-date-range-doc-1.41-r0.apk | 4.0 KiB | 2025-Jul-08 19:53 |
perl-datetime-astro-1.04-r0.apk | 34.0 KiB | 2025-Jul-24 04:27 |
perl-datetime-astro-doc-1.04-r0.apk | 6.0 KiB | 2025-Jul-24 04:27 |
perl-datetime-format-atom-1.8.0-r0.apk | 3.2 KiB | 2025-Jan-05 18:08 |
perl-datetime-format-atom-doc-1.8.0-r0.apk | 3.8 KiB | 2025-Jan-05 18:08 |
perl-datetime-format-flexible-0.37-r0.apk | 18.0 KiB | 2024-Dec-31 16:25 |
perl-datetime-format-flexible-doc-0.37-r0.apk | 12.1 KiB | 2024-Dec-31 16:25 |
perl-datetime-format-rfc3339-1.10.0-r0.apk | 4.4 KiB | 2025-Jan-05 18:08 |
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4.1 KiB | 2025-Jan-05 18:08 |
perl-datetime-set-0.3900-r0.apk | 19.9 KiB | 2025-Jul-24 04:27 |
perl-datetime-set-doc-0.3900-r0.apk | 17.9 KiB | 2025-Jul-24 04:27 |
perl-datetime-timezone-alias-0.06-r0.apk | 2.5 KiB | 2024-Oct-25 23:07 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.6 KiB | 2024-Oct-25 23:07 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11.8 KiB | 2024-Oct-25 23:07 |
perl-datetime-timezone-catalog-extend-doc-0.3.3-..> | 15.0 KiB | 2024-Oct-25 23:07 |
perl-dbicx-sugar-0.0200-r5.apk | 5.9 KiB | 2024-Oct-25 23:07 |
perl-dbicx-sugar-doc-0.0200-r5.apk | 5.3 KiB | 2024-Oct-25 23:07 |
perl-dbix-class-0.082844-r0.apk | 355.5 KiB | 2025-Jan-16 20:26 |
perl-dbix-class-candy-0.005004-r0.apk | 7.9 KiB | 2024-Oct-30 08:59 |
perl-dbix-class-candy-doc-0.005004-r0.apk | 9.5 KiB | 2024-Oct-30 08:59 |
perl-dbix-class-cursor-cached-1.001004-r0.apk | 3.2 KiB | 2025-Jun-09 19:27 |
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 3.0 KiB | 2025-Jun-09 19:27 |
perl-dbix-class-doc-0.082844-r0.apk | 420.5 KiB | 2025-Jan-16 20:26 |
perl-dbix-class-helpers-2.037000-r0.apk | 47.7 KiB | 2024-Nov-20 01:34 |
perl-dbix-class-helpers-doc-2.037000-r0.apk | 121.4 KiB | 2024-Nov-20 01:34 |
perl-dbix-class-schema-loader-0.07053-r0.apk | 97.4 KiB | 2025-Jun-09 19:27 |
perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 77.3 KiB | 2025-Jun-09 19:27 |
perl-dbix-connector-0.60-r0.apk | 14.7 KiB | 2024-Dec-30 09:37 |
perl-dbix-connector-doc-0.60-r0.apk | 22.3 KiB | 2024-Dec-30 09:37 |
perl-dbix-datasource-0.02-r5.apk | 4.3 KiB | 2024-Oct-25 23:07 |
perl-dbix-datasource-doc-0.02-r5.apk | 7.5 KiB | 2024-Oct-25 23:07 |
perl-dbix-introspector-0.001005-r4.apk | 8.0 KiB | 2024-Oct-25 23:07 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8.4 KiB | 2024-Oct-25 23:07 |
perl-dbix-lite-0.36-r0.apk | 18.0 KiB | 2024-Dec-30 09:37 |
perl-dbix-lite-doc-0.36-r0.apk | 17.5 KiB | 2024-Dec-30 09:37 |
perl-devel-confess-0.009004-r0.apk | 11.4 KiB | 2024-Oct-25 23:07 |
perl-devel-confess-doc-0.009004-r0.apk | 6.6 KiB | 2024-Oct-25 23:07 |
perl-devel-findperl-0.016-r0.apk | 4.7 KiB | 2025-Jun-08 19:14 |
perl-devel-findperl-doc-0.016-r0.apk | 3.8 KiB | 2025-Jun-08 19:14 |
perl-devel-leak-0.03-r14.apk | 6.4 KiB | 2025-Jun-30 10:14 |
perl-devel-leak-doc-0.03-r14.apk | 3.3 KiB | 2025-Jun-30 10:14 |
perl-devel-nytprof-6.14-r1.apk | 384.7 KiB | 2025-Jun-30 10:14 |
perl-devel-nytprof-doc-6.14-r1.apk | 50.5 KiB | 2025-Jun-30 10:14 |
perl-devel-refcount-0.10-r2.apk | 6.0 KiB | 2025-Jun-30 10:14 |
perl-devel-refcount-doc-0.10-r2.apk | 4.3 KiB | 2025-Jun-30 10:14 |
perl-devel-repl-1.003029-r0.apk | 28.3 KiB | 2025-Apr-24 17:50 |
perl-devel-repl-doc-1.003029-r0.apk | 60.1 KiB | 2025-Apr-24 17:50 |
perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3.7 KiB | 2025-Apr-29 22:46 |
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3.4 KiB | 2025-Apr-29 22:46 |
perl-devel-trace-0.12-r0.apk | 3.4 KiB | 2025-Jul-06 22:08 |
perl-devel-trace-doc-0.12-r0.apk | 3.5 KiB | 2025-Jul-06 22:08 |
perl-digest-bcrypt-1.212-r1.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5.2 KiB | 2024-Oct-25 23:07 |
perl-digest-crc-0.24-r2.apk | 9.6 KiB | 2025-Jun-30 10:14 |
perl-digest-crc-doc-0.24-r2.apk | 3.3 KiB | 2025-Jun-30 10:14 |
perl-dns-unbound-0.29-r2.apk | 22.4 KiB | 2025-Jun-30 10:14 |
perl-dns-unbound-anyevent-0.29-r2.apk | 2.3 KiB | 2025-Jun-30 10:14 |
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1.9 KiB | 2025-Jun-30 10:14 |
perl-dns-unbound-doc-0.29-r2.apk | 15.4 KiB | 2025-Jun-30 10:14 |
perl-dns-unbound-ioasync-0.29-r2.apk | 2.4 KiB | 2025-Jun-30 10:14 |
perl-dns-unbound-mojo-0.29-r2.apk | 2.7 KiB | 2025-Jun-30 10:14 |
perl-email-abstract-3.010-r0.apk | 7.6 KiB | 2024-Oct-25 23:07 |
perl-email-abstract-doc-3.010-r0.apk | 12.9 KiB | 2024-Oct-25 23:07 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 4.0 KiB | 2024-Oct-25 23:07 |
perl-email-mime-attachment-stripper-doc-1.317-r5..> | 3.8 KiB | 2024-Oct-25 23:07 |
perl-email-reply-1.204-r5.apk | 6.1 KiB | 2024-Oct-25 23:07 |
perl-email-reply-doc-1.204-r5.apk | 4.8 KiB | 2024-Oct-25 23:07 |
perl-email-sender-2.601-r0.apk | 24.7 KiB | 2025-Apr-04 11:55 |
perl-email-sender-doc-2.601-r0.apk | 42.1 KiB | 2025-Apr-04 11:55 |
perl-encode-detect-1.01-r1.apk | 69.1 KiB | 2025-Jun-30 10:14 |
perl-encode-detect-doc-1.01-r1.apk | 4.8 KiB | 2025-Jun-30 10:14 |
perl-ev-hiredis-0.07-r3.apk | 12.8 KiB | 2025-Jun-30 10:14 |
perl-ev-hiredis-doc-0.07-r3.apk | 4.2 KiB | 2025-Jun-30 10:14 |
perl-expect-1.38-r0.apk | 32.4 KiB | 2025-Apr-19 22:01 |
perl-expect-doc-1.38-r0.apk | 20.3 KiB | 2025-Apr-19 22:01 |
perl-expect-simple-0.04-r0.apk | 5.5 KiB | 2025-Apr-20 07:38 |
perl-expect-simple-doc-0.04-r0.apk | 4.9 KiB | 2025-Apr-20 07:38 |
perl-extutils-makemaker-7.70-r2.apk | 174.7 KiB | 2024-Oct-25 23:07 |
perl-extutils-xsbuilder-0.28-r5.apk | 43.0 KiB | 2024-Oct-25 23:07 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21.1 KiB | 2024-Oct-25 23:07 |
perl-feed-find-0.13-r0.apk | 3.8 KiB | 2024-Oct-25 23:07 |
perl-feed-find-doc-0.13-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
perl-ffi-c-0.15-r0.apk | 19.8 KiB | 2024-Oct-25 23:07 |
perl-ffi-c-doc-0.15-r0.apk | 28.6 KiB | 2024-Oct-25 23:07 |
perl-ffi-platypus-2.10-r1.apk | 181.7 KiB | 2025-Jun-30 10:14 |
perl-ffi-platypus-doc-2.10-r1.apk | 148.0 KiB | 2025-Jun-30 10:14 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5.2 KiB | 2024-Oct-25 23:07 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-file-changenotify-0.31-r0.apk | 12.1 KiB | 2025-Mar-20 19:04 |
perl-file-changenotify-doc-0.31-r0.apk | 14.0 KiB | 2025-Mar-20 19:04 |
perl-file-find-object-0.3.9-r0.apk | 9.2 KiB | 2025-Jul-15 11:22 |
perl-file-find-object-doc-0.3.9-r0.apk | 13.2 KiB | 2025-Jul-15 11:22 |
perl-file-mmagic-xs-0.09008-r5.apk | 27.4 KiB | 2025-Jun-30 10:14 |
perl-file-mmagic-xs-doc-0.09008-r5.apk | 4.3 KiB | 2025-Jun-30 10:14 |
perl-file-rename-2.02-r0.apk | 7.5 KiB | 2024-Oct-25 23:07 |
perl-file-rename-doc-2.02-r0.apk | 12.1 KiB | 2024-Oct-25 23:07 |
perl-file-treecreate-0.0.1-r0.apk | 4.0 KiB | 2025-Jul-15 11:22 |
perl-file-treecreate-doc-0.0.1-r0.apk | 4.4 KiB | 2025-Jul-15 11:22 |
perl-finance-quote-1.66-r0.apk | 105.8 KiB | 2025-Jul-15 11:22 |
perl-finance-quote-doc-1.66-r0.apk | 87.6 KiB | 2025-Jul-15 11:22 |
perl-flowd-0.9.1-r11.apk | 22.8 KiB | 2025-Jun-30 10:14 |
perl-flowd-doc-0.9.1-r11.apk | 3.3 KiB | 2025-Jun-30 10:14 |
perl-freezethaw-0.5001-r2.apk | 9.8 KiB | 2024-Oct-25 23:07 |
perl-freezethaw-doc-0.5001-r2.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-full-1.004-r0.apk | 7.1 KiB | 2024-Oct-25 23:07 |
perl-full-doc-1.004-r0.apk | 10.3 KiB | 2024-Oct-25 23:07 |
perl-future-asyncawait-hooks-0.02-r1.apk | 7.7 KiB | 2025-Jun-30 10:14 |
perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3.3 KiB | 2025-Jun-30 10:14 |
perl-future-http-0.17-r0.apk | 9.2 KiB | 2024-Oct-25 23:07 |
perl-future-http-doc-0.17-r0.apk | 15.7 KiB | 2024-Oct-25 23:07 |
perl-future-q-0.120-r0.apk | 9.6 KiB | 2024-Oct-25 23:07 |
perl-future-q-doc-0.120-r0.apk | 9.1 KiB | 2024-Oct-25 23:07 |
perl-future-queue-0.52-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-future-queue-doc-0.52-r0.apk | 4.3 KiB | 2024-Oct-25 23:07 |
perl-gearman-2.004.015-r3.apk | 27.4 KiB | 2024-Oct-25 23:07 |
perl-gearman-doc-2.004.015-r3.apk | 19.8 KiB | 2024-Oct-25 23:07 |
perl-getopt-long-descriptive-0.116-r0.apk | 14.6 KiB | 2024-Dec-31 12:39 |
perl-getopt-long-descriptive-doc-0.116-r0.apk | 11.0 KiB | 2024-Dec-31 12:39 |
perl-getopt-tabular-0.3-r4.apk | 23.2 KiB | 2024-Oct-25 23:07 |
perl-getopt-tabular-doc-0.3-r4.apk | 16.7 KiB | 2024-Oct-25 23:07 |
perl-git-raw-0.90-r4.apk | 162.5 KiB | 2025-Jun-30 10:14 |
perl-git-raw-doc-0.90-r4.apk | 119.3 KiB | 2025-Jun-30 10:14 |
perl-git-repository-1.325-r0.apk | 16.3 KiB | 2024-Oct-25 23:07 |
perl-git-repository-doc-1.325-r0.apk | 31.5 KiB | 2024-Oct-25 23:07 |
perl-git-version-compare-1.005-r0.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-git-version-compare-doc-1.005-r0.apk | 4.8 KiB | 2024-Oct-25 23:07 |
perl-glib-ex-objectbits-17-r0.apk | 15.0 KiB | 2024-Oct-25 23:07 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22.4 KiB | 2024-Oct-25 23:07 |
perl-glib-object-introspection-0.051-r2.apk | 56.0 KiB | 2025-Jun-30 10:14 |
perl-glib-object-introspection-doc-0.051-r2.apk | 11.2 KiB | 2025-Jun-30 10:14 |
perl-graphql-client-0.605-r0.apk | 7.1 KiB | 2024-Oct-25 23:07 |
perl-graphql-client-cli-0.605-r0.apk | 7.8 KiB | 2024-Oct-25 23:07 |
perl-graphql-client-doc-0.605-r0.apk | 14.0 KiB | 2024-Oct-25 23:07 |
perl-gtk2-1.24993-r7.apk | 808.1 KiB | 2025-Jun-30 10:14 |
perl-gtk2-doc-1.24993-r7.apk | 678.6 KiB | 2025-Jun-30 10:14 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.7 KiB | 2024-Oct-25 23:07 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.3 KiB | 2024-Oct-25 23:07 |
perl-gtk2-ex-widgetbits-48-r3.apk | 65.7 KiB | 2024-Oct-25 23:07 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.2 KiB | 2024-Oct-25 23:07 |
perl-gtk3-0.038-r1.apk | 19.6 KiB | 2024-Oct-25 23:07 |
perl-gtk3-doc-0.038-r1.apk | 9.1 KiB | 2024-Oct-25 23:07 |
perl-guard-1.023-r10.apk | 8.1 KiB | 2025-Jun-30 10:14 |
perl-guard-doc-1.023-r10.apk | 5.3 KiB | 2025-Jun-30 10:14 |
perl-hash-merge-extra-0.06-r0.apk | 3.1 KiB | 2025-Jun-20 18:18 |
perl-hash-merge-extra-doc-0.06-r0.apk | 3.4 KiB | 2025-Jun-20 18:18 |
perl-hash-ordered-0.014-r0.apk | 9.8 KiB | 2024-Oct-25 23:07 |
perl-hash-ordered-doc-0.014-r0.apk | 19.2 KiB | 2024-Oct-25 23:07 |
perl-html-formatexternal-26-r0.apk | 17.1 KiB | 2025-Jun-07 17:55 |
perl-html-formatexternal-doc-26-r0.apk | 23.0 KiB | 2025-Jun-07 17:55 |
perl-html-formhandler-0.40068-r0.apk | 135.5 KiB | 2025-May-01 09:34 |
perl-html-formhandler-doc-0.40068-r0.apk | 323.2 KiB | 2025-May-01 09:34 |
perl-html-gumbo-0.18-r2.apk | 13.9 KiB | 2025-Jun-30 10:14 |
perl-html-gumbo-doc-0.18-r2.apk | 5.4 KiB | 2025-Jun-30 10:14 |
perl-html-object-0.5.1-r0.apk | 348.3 KiB | 2024-Oct-25 23:07 |
perl-html-object-doc-0.5.1-r0.apk | 471.9 KiB | 2024-Oct-25 23:07 |
perl-html-query-0.09-r0.apk | 13.8 KiB | 2025-Jun-16 14:50 |
perl-html-query-doc-0.09-r0.apk | 10.1 KiB | 2025-Jun-16 14:50 |
perl-html-selector-xpath-0.28-r0.apk | 6.2 KiB | 2024-Oct-25 23:07 |
perl-html-selector-xpath-doc-0.28-r0.apk | 4.0 KiB | 2024-Oct-25 23:07 |
perl-html-tableextract-2.15-r4.apk | 17.7 KiB | 2024-Oct-25 23:07 |
perl-html-tableextract-doc-2.15-r4.apk | 9.9 KiB | 2024-Oct-25 23:07 |
perl-html-treebuilder-xpath-0.14-r0.apk | 7.8 KiB | 2025-Jul-10 05:28 |
perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4.2 KiB | 2025-Jul-10 05:28 |
perl-http-headers-actionpack-0.09-r0.apk | 18.0 KiB | 2025-Jun-13 21:17 |
perl-http-headers-actionpack-doc-0.09-r0.apk | 40.3 KiB | 2025-Jun-13 21:17 |
perl-http-thin-0.006-r0.apk | 3.1 KiB | 2024-Oct-25 23:07 |
perl-http-thin-doc-0.006-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-http-xsheaders-0.400005-r2.apk | 17.2 KiB | 2025-Jun-30 10:14 |
perl-http-xsheaders-doc-0.400005-r2.apk | 6.4 KiB | 2025-Jun-30 10:14 |
perl-i18n-langinfo-wide-9-r4.apk | 4.2 KiB | 2024-Oct-25 23:07 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-imager-1.028-r1.apk | 496.6 KiB | 2025-Jun-30 10:14 |
perl-imager-doc-1.028-r1.apk | 286.4 KiB | 2025-Jun-30 10:14 |
perl-indirect-0.39-r2.apk | 14.6 KiB | 2025-Jun-30 10:14 |
perl-indirect-doc-0.39-r2.apk | 6.4 KiB | 2025-Jun-30 10:14 |
perl-io-handle-util-0.02-r0.apk | 10.6 KiB | 2025-Jun-11 19:34 |
perl-io-handle-util-doc-0.02-r0.apk | 9.8 KiB | 2025-Jun-11 19:34 |
perl-io-lambda-1.34-r0.apk | 75.5 KiB | 2024-Oct-25 23:07 |
perl-io-lambda-doc-1.34-r0.apk | 67.9 KiB | 2024-Oct-25 23:07 |
perl-io-sessiondata-1.03-r3.apk | 5.7 KiB | 2024-Oct-25 23:07 |
perl-json-maybeutf8-2.000-r0.apk | 3.1 KiB | 2024-Oct-25 23:07 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3.5 KiB | 2024-Oct-25 23:07 |
perl-json-validator-5.15-r0.apk | 58.1 KiB | 2025-Mar-19 14:44 |
perl-json-validator-doc-5.15-r0.apk | 33.8 KiB | 2025-Mar-19 14:44 |
perl-lexical-persistence-1.023-r0.apk | 7.5 KiB | 2025-Apr-29 22:46 |
perl-lexical-persistence-doc-1.023-r0.apk | 7.6 KiB | 2025-Apr-29 22:46 |
perl-lib-abs-0.95-r0.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-lib-abs-doc-0.95-r0.apk | 4.0 KiB | 2024-Oct-25 23:07 |
perl-libapreq2-2.17-r3.apk | 93.2 KiB | 2025-Jun-30 10:14 |
perl-libapreq2-dev-2.17-r3.apk | 54.4 KiB | 2025-Jun-30 10:14 |
perl-libapreq2-doc-2.17-r3.apk | 37.3 KiB | 2025-Jun-30 10:14 |
perl-libintl-perl-1.35-r0.apk | 304.9 KiB | 2025-Jan-16 16:35 |
perl-libintl-perl-doc-1.35-r0.apk | 571.4 KiB | 2025-Jan-16 16:35 |
perl-lingua-en-findnumber-1.32-r0.apk | 3.3 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-findnumber-doc-1.32-r0.apk | 3.5 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-inflect-number-1.12-r0.apk | 3.2 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3.6 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-inflect-phrase-0.20-r0.apk | 5.3 KiB | 2025-Jun-09 15:06 |
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3.9 KiB | 2025-Jun-09 15:06 |
perl-lingua-en-number-isordinal-0.05-r0.apk | 3.1 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3.4 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-tagger-0.31-r0.apk | 545.8 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-tagger-doc-0.31-r0.apk | 4.5 KiB | 2025-Jun-08 19:14 |
perl-lingua-en-words2nums-0.18-r0.apk | 4.6 KiB | 2025-Jun-07 17:55 |
perl-lingua-en-words2nums-doc-0.18-r0.apk | 3.5 KiB | 2025-Jun-07 17:55 |
perl-lingua-pt-stemmer-0.02-r0.apk | 5.4 KiB | 2025-Jun-07 05:00 |
perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4.3 KiB | 2025-Jun-07 05:00 |
perl-lingua-stem-2.31-r0.apk | 12.4 KiB | 2025-Jun-08 19:14 |
perl-lingua-stem-doc-2.31-r0.apk | 33.6 KiB | 2025-Jun-08 19:14 |
perl-lingua-stem-fr-0.02-r0.apk | 6.1 KiB | 2025-Jun-06 17:57 |
perl-lingua-stem-fr-doc-0.02-r0.apk | 3.9 KiB | 2025-Jun-06 17:57 |
perl-lingua-stem-it-0.02-r0.apk | 5.2 KiB | 2025-Jun-06 17:57 |
perl-lingua-stem-it-doc-0.02-r0.apk | 3.5 KiB | 2025-Jun-06 17:57 |
perl-lingua-stem-ru-0.04-r0.apk | 4.1 KiB | 2025-Jun-06 17:57 |
perl-lingua-stem-ru-doc-0.04-r0.apk | 3.7 KiB | 2025-Jun-06 17:57 |
perl-lingua-stem-snowball-da-1.01-r0.apk | 4.3 KiB | 2025-Jun-08 19:14 |
perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 3.0 KiB | 2025-Jun-08 19:14 |
perl-linux-pid-0.04-r15.apk | 4.6 KiB | 2025-Jun-30 10:14 |
perl-linux-pid-doc-0.04-r15.apk | 3.1 KiB | 2025-Jun-30 10:14 |
perl-list-binarysearch-0.25-r0.apk | 9.9 KiB | 2024-Oct-25 23:07 |
perl-list-binarysearch-doc-0.25-r0.apk | 11.5 KiB | 2024-Oct-25 23:07 |
perl-list-binarysearch-xs-0.09-r2.apk | 11.5 KiB | 2025-Jun-30 10:14 |
perl-list-binarysearch-xs-doc-0.09-r2.apk | 8.1 KiB | 2025-Jun-30 10:14 |
perl-list-keywords-0.11-r1.apk | 13.5 KiB | 2025-Jun-30 10:14 |
perl-list-keywords-doc-0.11-r1.apk | 5.6 KiB | 2025-Jun-30 10:14 |
perl-log-fu-0.31-r4.apk | 10.5 KiB | 2024-Oct-25 23:07 |
perl-log-fu-doc-0.31-r4.apk | 7.2 KiB | 2024-Oct-25 23:07 |
perl-log-message-0.08-r3.apk | 10.6 KiB | 2024-Oct-25 23:07 |
perl-log-message-doc-0.08-r3.apk | 12.1 KiB | 2024-Oct-25 23:07 |
perl-log-message-simple-0.10-r3.apk | 4.2 KiB | 2024-Oct-25 23:07 |
perl-log-message-simple-doc-0.10-r3.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-lwp-online-1.08-r0.apk | 6.1 KiB | 2024-Oct-25 23:07 |
perl-lwp-online-doc-1.08-r0.apk | 5.7 KiB | 2024-Oct-25 23:07 |
perl-lwp-useragent-cached-0.08-r1.apk | 6.4 KiB | 2024-Oct-25 23:07 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-mastodon-client-0.017-r0.apk | 22.0 KiB | 2024-Oct-25 23:07 |
perl-mastodon-client-doc-0.017-r0.apk | 33.0 KiB | 2024-Oct-25 23:07 |
perl-math-int64-0.57-r2.apk | 28.9 KiB | 2025-Jun-30 10:14 |
perl-math-int64-doc-0.57-r2.apk | 10.5 KiB | 2025-Jun-30 10:14 |
perl-math-libm-1.00-r15.apk | 9.5 KiB | 2025-Jun-30 10:14 |
perl-math-libm-doc-1.00-r15.apk | 3.2 KiB | 2025-Jun-30 10:14 |
perl-math-random-0.72-r1.apk | 34.2 KiB | 2025-Jun-30 10:14 |
perl-math-random-doc-0.72-r1.apk | 10.9 KiB | 2025-Jun-30 10:14 |
perl-math-random-isaac-xs-1.004-r9.apk | 7.2 KiB | 2025-Jun-30 10:14 |
perl-math-random-isaac-xs-doc-1.004-r9.apk | 3.8 KiB | 2025-Jun-30 10:14 |
perl-mce-1.901-r0.apk | 134.3 KiB | 2025-Jan-03 07:21 |
perl-mce-doc-1.901-r0.apk | 169.7 KiB | 2025-Jan-03 07:21 |
perl-memoize-expirelru-0.56-r0.apk | 6.2 KiB | 2025-Jun-08 19:14 |
perl-memoize-expirelru-doc-0.56-r0.apk | 3.8 KiB | 2025-Jun-08 19:14 |
perl-minion-10.31-r0.apk | 1.5 MiB | 2024-Oct-25 23:07 |
perl-minion-backend-pg-10.31-r0.apk | 9.6 KiB | 2024-Oct-25 23:07 |
perl-minion-backend-redis-0.003-r0.apk | 10.5 KiB | 2024-Oct-25 23:07 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6.6 KiB | 2024-Oct-25 23:07 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10.1 KiB | 2024-Oct-25 23:07 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.8 KiB | 2024-Oct-25 23:07 |
perl-minion-doc-10.31-r0.apk | 49.0 KiB | 2024-Oct-25 23:07 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-module-build-prereqs-fromcpanfile-doc-0.02-..> | 3.8 KiB | 2024-Oct-25 23:07 |
perl-module-cpants-analyse-1.02-r0.apk | 26.5 KiB | 2025-Jul-15 11:22 |
perl-module-cpants-analyse-doc-1.02-r0.apk | 28.3 KiB | 2025-Jul-15 11:22 |
perl-module-generic-0.43.3-r0.apk | 272.5 KiB | 2025-Apr-23 07:24 |
perl-module-generic-doc-0.43.3-r0.apk | 214.4 KiB | 2025-Apr-23 07:24 |
perl-module-path-0.19-r0.apk | 4.7 KiB | 2025-Jun-08 19:14 |
perl-module-path-doc-0.19-r0.apk | 5.8 KiB | 2025-Jun-08 19:14 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4.7 KiB | 2024-Oct-25 23:07 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.4 KiB | 2024-Oct-25 23:07 |
perl-mojo-redis-3.29-r0.apk | 25.2 KiB | 2024-Oct-25 23:07 |
perl-mojo-redis-doc-3.29-r0.apk | 24.6 KiB | 2024-Oct-25 23:07 |
perl-mojo-sqlite-3.009-r0.apk | 15.9 KiB | 2024-Oct-25 23:07 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19.3 KiB | 2024-Oct-25 23:07 |
perl-mojolicious-plugin-openapi-5.11-r0.apk | 28.4 KiB | 2025-Mar-19 14:44 |
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33.5 KiB | 2025-Mar-19 14:44 |
perl-moosex-configfromfile-0.14-r0.apk | 4.1 KiB | 2025-Mar-16 07:03 |
perl-moosex-configfromfile-doc-0.14-r0.apk | 4.2 KiB | 2025-Mar-16 07:03 |
perl-moosex-emulate-class-accessor-fast-0.009032..> | 5.4 KiB | 2025-Mar-16 07:03 |
perl-moosex-emulate-class-accessor-fast-doc-0.00..> | 5.3 KiB | 2025-Mar-16 07:03 |
perl-moosex-getopt-0.78-r0.apk | 13.6 KiB | 2025-Mar-16 07:03 |
perl-moosex-getopt-doc-0.78-r0.apk | 24.7 KiB | 2025-Mar-16 07:03 |
perl-moosex-markasmethods-0.15-r0.apk | 5.1 KiB | 2025-Jun-04 20:22 |
perl-moosex-markasmethods-doc-0.15-r0.apk | 5.2 KiB | 2025-Jun-04 20:22 |
perl-moosex-methodattributes-0.32-r0.apk | 8.6 KiB | 2025-Mar-19 14:44 |
perl-moosex-methodattributes-doc-0.32-r0.apk | 22.1 KiB | 2025-Mar-19 14:44 |
perl-moosex-nonmoose-0.27-r1.apk | 8.2 KiB | 2025-Jun-19 13:55 |
perl-moosex-nonmoose-doc-0.27-r1.apk | 9.4 KiB | 2025-Jun-19 13:55 |
perl-moosex-object-pluggable-0.0014-r0.apk | 6.0 KiB | 2025-Apr-23 00:28 |
perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5.5 KiB | 2025-Apr-23 00:28 |
perl-moosex-relatedclassroles-0.004-r0.apk | 2.8 KiB | 2025-May-01 09:34 |
perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3.3 KiB | 2025-May-01 09:34 |
perl-moosex-role-parameterized-1.11-r0.apk | 7.7 KiB | 2025-Mar-15 17:08 |
perl-moosex-role-parameterized-doc-1.11-r0.apk | 23.5 KiB | 2025-Mar-15 17:08 |
perl-moosex-simpleconfig-0.11-r0.apk | 3.8 KiB | 2025-Mar-16 07:03 |
perl-moosex-simpleconfig-doc-0.11-r0.apk | 4.2 KiB | 2025-Mar-16 07:03 |
perl-moosex-traits-pluggable-0.12-r0.apk | 4.9 KiB | 2025-May-01 09:34 |
perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4.2 KiB | 2025-May-01 09:34 |
perl-moosex-types-loadableclass-0.016-r0.apk | 3.2 KiB | 2025-Apr-30 19:21 |
perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3.7 KiB | 2025-Apr-30 19:21 |
perl-moosex-types-path-tiny-0.012-r0.apk | 4.0 KiB | 2025-Mar-15 17:08 |
perl-moosex-types-path-tiny-doc-0.012-r0.apk | 4.1 KiB | 2025-Mar-15 17:08 |
perl-moosex-types-stringlike-0.003-r0.apk | 3.0 KiB | 2025-Mar-15 17:08 |
perl-moosex-types-stringlike-doc-0.003-r0.apk | 3.6 KiB | 2025-Mar-15 17:08 |
perl-moox-typetiny-0.002003-r0.apk | 3.6 KiB | 2025-Jun-09 15:05 |
perl-moox-typetiny-doc-0.002003-r0.apk | 3.2 KiB | 2025-Jun-09 15:05 |
perl-multidimensional-0.014-r1.apk | 4.7 KiB | 2025-Jun-30 10:14 |
perl-multidimensional-doc-0.014-r1.apk | 3.2 KiB | 2025-Jun-30 10:14 |
perl-musicbrainz-discid-0.06-r2.apk | 8.9 KiB | 2025-Jun-30 10:14 |
perl-musicbrainz-discid-doc-0.06-r2.apk | 4.4 KiB | 2025-Jun-30 10:14 |
perl-net-address-ip-local-0.1.2-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.5 KiB | 2024-Oct-25 23:07 |
perl-net-amqp-rabbitmq-2.40012-r1.apk | 73.5 KiB | 2025-Jun-30 10:14 |
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk | 9.8 KiB | 2025-Jun-30 10:14 |
perl-net-async-redis-6.006-r0.apk | 58.4 KiB | 2024-Dec-11 19:22 |
perl-net-async-redis-doc-6.006-r0.apk | 65.9 KiB | 2024-Dec-11 19:22 |
perl-net-async-redis-xs-1.001-r2.apk | 8.6 KiB | 2025-Jun-30 10:14 |
perl-net-async-redis-xs-doc-1.001-r2.apk | 5.4 KiB | 2025-Jun-30 10:14 |
perl-net-curl-0.57-r1.apk | 56.9 KiB | 2025-Jun-30 10:14 |
perl-net-curl-doc-0.57-r1.apk | 39.4 KiB | 2025-Jun-30 10:14 |
perl-net-curl-promiser-0.20-r0.apk | 8.8 KiB | 2024-Oct-25 23:07 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.7 KiB | 2024-Oct-25 23:07 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11.6 KiB | 2024-Oct-25 23:07 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 3.0 KiB | 2024-Oct-25 23:07 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 3.1 KiB | 2024-Oct-25 23:07 |
perl-net-idn-encode-2.500-r2.apk | 83.5 KiB | 2025-Jun-30 10:14 |
perl-net-idn-encode-doc-2.500-r2.apk | 21.8 KiB | 2025-Jun-30 10:14 |
perl-net-irr-0.10-r0.apk | 5.5 KiB | 2024-Oct-25 23:07 |
perl-net-irr-doc-0.10-r0.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-net-jabber-2.0-r0.apk | 50.6 KiB | 2024-Oct-25 23:07 |
perl-net-jabber-bot-2.1.7-r0.apk | 13.5 KiB | 2024-Oct-25 23:07 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7.6 KiB | 2024-Oct-25 23:07 |
perl-net-jabber-doc-2.0-r0.apk | 48.3 KiB | 2024-Oct-25 23:07 |
perl-net-netmask-2.0003-r0.apk | 13.5 KiB | 2025-May-18 07:10 |
perl-net-netmask-doc-2.0003-r0.apk | 8.6 KiB | 2025-May-18 07:10 |
perl-net-patricia-1.22-r13.apk | 19.5 KiB | 2025-Jun-30 10:14 |
perl-net-patricia-doc-1.22-r13.apk | 6.1 KiB | 2025-Jun-30 10:14 |
perl-net-xmpp-1.05-r0.apk | 57.6 KiB | 2024-Oct-25 23:07 |
perl-net-xmpp-doc-1.05-r0.apk | 43.6 KiB | 2024-Oct-25 23:07 |
perl-netaddr-mac-0.98-r1.apk | 10.8 KiB | 2024-Oct-25 23:07 |
perl-netaddr-mac-doc-0.98-r1.apk | 8.0 KiB | 2024-Oct-25 23:07 |
perl-nice-try-1.3.17-r0.apk | 27.9 KiB | 2025-Jul-24 04:27 |
perl-nice-try-doc-1.3.17-r0.apk | 12.5 KiB | 2025-Jul-24 04:27 |
perl-number-format-1.76-r1.apk | 15.2 KiB | 2024-Oct-25 23:07 |
perl-number-format-doc-1.76-r1.apk | 9.0 KiB | 2024-Oct-25 23:07 |
perl-number-misc-1.2-r5.apk | 5.2 KiB | 2024-Oct-25 23:07 |
perl-number-misc-doc-1.2-r5.apk | 4.4 KiB | 2024-Oct-25 23:07 |
perl-number-tolerant-1.710-r0.apk | 14.8 KiB | 2024-Oct-25 23:07 |
perl-number-tolerant-doc-1.710-r0.apk | 25.6 KiB | 2024-Oct-25 23:07 |
perl-object-array-0.060-r0.apk | 5.7 KiB | 2024-Oct-25 23:07 |
perl-object-array-doc-0.060-r0.apk | 7.0 KiB | 2024-Oct-25 23:07 |
perl-object-pad-fieldattr-checked-0.12-r1.apk | 8.0 KiB | 2025-Jun-30 10:14 |
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4.5 KiB | 2025-Jun-30 10:14 |
perl-object-signature-1.08-r0.apk | 3.7 KiB | 2025-Apr-04 14:56 |
perl-object-signature-doc-1.08-r0.apk | 5.4 KiB | 2025-Apr-04 14:56 |
perl-openapi-client-1.07-r0.apk | 8.6 KiB | 2024-Oct-25 23:07 |
perl-openapi-client-doc-1.07-r0.apk | 7.3 KiB | 2024-Oct-25 23:07 |
perl-opentracing-1.006-r0.apk | 18.0 KiB | 2024-Oct-25 23:07 |
perl-opentracing-doc-1.006-r0.apk | 32.7 KiB | 2024-Oct-25 23:07 |
perl-pango-1.227-r12.apk | 73.3 KiB | 2025-Jun-30 10:14 |
perl-pango-doc-1.227-r12.apk | 82.4 KiB | 2025-Jun-30 10:14 |
perl-parse-distname-0.05-r0.apk | 5.5 KiB | 2025-Jul-15 11:22 |
perl-parse-distname-doc-0.05-r0.apk | 4.4 KiB | 2025-Jul-15 11:22 |
perl-path-dispatcher-1.08-r0.apk | 14.2 KiB | 2025-Jun-09 15:05 |
perl-path-dispatcher-doc-1.08-r0.apk | 37.7 KiB | 2025-Jun-09 15:05 |
perl-path-iter-0.2-r3.apk | 5.2 KiB | 2024-Oct-25 23:07 |
perl-path-iter-doc-0.2-r3.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-perlio-locale-0.10-r13.apk | 4.3 KiB | 2025-Jun-30 10:14 |
perl-perlio-locale-doc-0.10-r13.apk | 3.1 KiB | 2025-Jun-30 10:14 |
perl-plack-middleware-expires-0.06-r3.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3.3 KiB | 2024-Oct-25 23:07 |
perl-plack-middleware-fixmissingbodyinredirect-0..> | 3.1 KiB | 2024-Dec-26 12:36 |
perl-plack-middleware-fixmissingbodyinredirect-d..> | 3.1 KiB | 2024-Dec-26 12:36 |
perl-plack-middleware-methodoverride-0.20-r0.apk | 3.6 KiB | 2025-Mar-19 14:44 |
perl-plack-middleware-methodoverride-doc-0.20-r0..> | 4.0 KiB | 2025-Mar-19 14:44 |
perl-plack-middleware-removeredundantbody-0.09-r..> | 2.5 KiB | 2024-Dec-27 17:02 |
perl-plack-middleware-removeredundantbody-doc-0...> | 3.1 KiB | 2024-Dec-27 17:02 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 3.1 KiB | 2024-Oct-25 23:07 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3.0 KiB | 2024-Oct-25 23:07 |
perl-plack-test-externalserver-0.02-r0.apk | 2.8 KiB | 2025-Mar-19 14:44 |
perl-plack-test-externalserver-doc-0.02-r0.apk | 3.1 KiB | 2025-Mar-19 14:44 |
perl-pod-cpandoc-0.16-r6.apk | 4.6 KiB | 2024-Oct-25 23:07 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4.9 KiB | 2024-Oct-25 23:07 |
perl-pod-tidy-0.10-r1.apk | 10.4 KiB | 2024-Oct-25 23:07 |
perl-pod-tidy-doc-0.10-r1.apk | 10.5 KiB | 2024-Oct-25 23:07 |
perl-power-tools-1.052-r0.apk | 365.7 KiB | 2025-Jul-24 04:27 |
perl-power-tools-doc-1.052-r0.apk | 247.2 KiB | 2025-Jul-24 04:27 |
perl-ppi-xs-0.910-r2.apk | 5.6 KiB | 2025-Jun-30 10:14 |
perl-ppi-xs-doc-0.910-r2.apk | 3.4 KiB | 2025-Jun-30 10:14 |
perl-prereqscanner-notquitelite-0.9917-r0.apk | 41.0 KiB | 2025-Jul-15 11:22 |
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 49.1 KiB | 2025-Jul-15 11:22 |
perl-proc-guard-0.07-r4.apk | 3.7 KiB | 2024-Oct-25 23:07 |
perl-proc-guard-doc-0.07-r4.apk | 3.5 KiB | 2024-Oct-25 23:07 |
perl-promise-es6-0.28-r0.apk | 10.7 KiB | 2024-Oct-25 23:07 |
perl-promise-es6-anyevent-0.28-r0.apk | 2.5 KiB | 2024-Oct-25 23:07 |
perl-promise-es6-doc-0.28-r0.apk | 12.1 KiB | 2024-Oct-25 23:07 |
perl-promise-es6-future-0.28-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
perl-promise-es6-io-async-0.28-r0.apk | 2.9 KiB | 2024-Oct-25 23:07 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.6 KiB | 2024-Oct-25 23:07 |
perl-promise-me-0.5.0-r0.apk | 25.6 KiB | 2024-Oct-25 23:07 |
perl-promise-me-doc-0.5.0-r0.apk | 12.0 KiB | 2024-Oct-25 23:07 |
perl-promise-xs-0.20-r2.apk | 21.6 KiB | 2025-Jun-30 10:14 |
perl-promise-xs-doc-0.20-r2.apk | 8.8 KiB | 2025-Jun-30 10:14 |
perl-protocol-database-postgresql-2.001-r0.apk | 19.2 KiB | 2024-Oct-25 23:07 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 35.8 KiB | 2024-Oct-25 23:07 |
perl-protocol-redis-1.0021-r0.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-protocol-redis-doc-1.0021-r0.apk | 5.0 KiB | 2024-Oct-25 23:07 |
perl-protocol-redis-faster-0.003-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
perl-ref-util-xs-0.117-r9.apk | 8.6 KiB | 2025-Jun-30 10:14 |
perl-ref-util-xs-doc-0.117-r9.apk | 3.5 KiB | 2025-Jun-30 10:14 |
perl-regexp-trie-0.02-r0.apk | 3.0 KiB | 2025-Jul-15 11:22 |
perl-regexp-trie-doc-0.02-r0.apk | 3.3 KiB | 2025-Jul-15 11:22 |
perl-role-eventemitter-0.003-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
perl-role-eventemitter-doc-0.003-r0.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-rxperl-6.29.8-r0.apk | 26.3 KiB | 2024-Oct-25 23:07 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2.7 KiB | 2024-Oct-25 23:07 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.9 KiB | 2024-Oct-25 23:07 |
perl-rxperl-doc-6.29.8-r0.apk | 22.6 KiB | 2024-Oct-25 23:07 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9.0 KiB | 2024-Oct-25 23:07 |
perl-rxperl-mojo-6.8.2-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9.1 KiB | 2024-Oct-25 23:07 |
perl-ryu-4.001-r0.apk | 26.1 KiB | 2024-Oct-25 23:07 |
perl-ryu-async-0.020-r0.apk | 7.5 KiB | 2024-Oct-25 23:07 |
perl-ryu-async-doc-0.020-r0.apk | 11.8 KiB | 2024-Oct-25 23:07 |
perl-ryu-doc-4.001-r0.apk | 35.4 KiB | 2024-Oct-25 23:07 |
perl-scalar-readonly-0.03-r2.apk | 5.2 KiB | 2025-Jun-30 10:14 |
perl-scalar-readonly-doc-0.03-r2.apk | 3.4 KiB | 2025-Jun-30 10:14 |
perl-set-infinite-0.65-r0.apk | 22.9 KiB | 2025-Jul-24 04:27 |
perl-set-infinite-doc-0.65-r0.apk | 11.5 KiB | 2025-Jul-24 04:27 |
perl-shell-config-generate-0.34-r0.apk | 7.8 KiB | 2025-Jun-08 19:14 |
perl-shell-config-generate-doc-0.34-r0.apk | 6.8 KiB | 2025-Jun-08 19:14 |
perl-shell-guess-0.10-r0.apk | 6.0 KiB | 2025-Jun-07 17:55 |
perl-shell-guess-doc-0.10-r0.apk | 5.8 KiB | 2025-Jun-07 17:55 |
perl-signature-attribute-checked-0.06-r1.apk | 7.6 KiB | 2025-Jun-30 10:14 |
perl-signature-attribute-checked-doc-0.06-r1.apk | 4.6 KiB | 2025-Jun-30 10:14 |
perl-smart-comments-1.06-r0.apk | 12.3 KiB | 2025-Jul-07 20:02 |
perl-smart-comments-doc-1.06-r0.apk | 8.5 KiB | 2025-Jul-07 20:02 |
perl-snmp-5.0404-r14.apk | 67.0 KiB | 2025-Jun-30 10:14 |
perl-snmp-doc-5.0404-r14.apk | 14.1 KiB | 2025-Jun-30 10:14 |
perl-snmp-info-3.972002-r0.apk | 332.7 KiB | 2024-Oct-30 08:59 |
perl-snmp-info-doc-3.972002-r0.apk | 378.9 KiB | 2024-Oct-30 08:59 |
perl-snowball-norwegian-1.2-r0.apk | 5.3 KiB | 2025-Jun-08 19:14 |
perl-snowball-norwegian-doc-1.2-r0.apk | 3.9 KiB | 2025-Jun-08 19:14 |
perl-snowball-swedish-1.2-r0.apk | 5.2 KiB | 2025-Jun-08 19:14 |
perl-snowball-swedish-doc-1.2-r0.apk | 3.9 KiB | 2025-Jun-08 19:14 |
perl-soap-lite-1.27-r5.apk | 110.2 KiB | 2024-Oct-25 23:07 |
perl-soap-lite-doc-1.27-r5.apk | 90.5 KiB | 2024-Oct-25 23:07 |
perl-software-license-0.104007-r0.apk | 106.6 KiB | 2025-Jul-08 19:53 |
perl-software-license-doc-0.104007-r0.apk | 50.4 KiB | 2025-Jul-08 19:53 |
perl-sort-naturally-1.03-r4.apk | 8.6 KiB | 2024-Oct-25 23:07 |
perl-sort-naturally-doc-1.03-r4.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-sort-versions-1.62-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
perl-sort-versions-doc-1.62-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-sql-abstract-classic-1.91-r1.apk | 29.5 KiB | 2024-Oct-25 23:07 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20.2 KiB | 2024-Oct-25 23:07 |
perl-sql-abstract-more-1.44-r0.apk | 27.6 KiB | 2025-Jul-15 11:22 |
perl-sql-abstract-more-doc-1.44-r0.apk | 16.7 KiB | 2025-Jul-15 11:22 |
perl-starman-0.4017-r0.apk | 13.4 KiB | 2024-Oct-25 23:07 |
perl-starman-doc-0.4017-r0.apk | 10.0 KiB | 2024-Oct-25 23:07 |
perl-statistics-basic-1.6611-r0.apk | 9.2 KiB | 2024-Oct-25 23:07 |
perl-statistics-basic-doc-1.6611-r0.apk | 49.8 KiB | 2024-Oct-25 23:07 |
perl-statistics-descriptive-3.0801-r0.apk | 30.3 KiB | 2024-Oct-25 23:07 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 37.5 KiB | 2024-Oct-25 23:07 |
perl-storable-improved-0.1.3-r0.apk | 6.7 KiB | 2024-Oct-25 23:07 |
perl-storable-improved-doc-0.1.3-r0.apk | 6.9 KiB | 2024-Oct-25 23:07 |
perl-string-camelcase-0.04-r2.apk | 3.2 KiB | 2024-Oct-25 23:07 |
perl-string-camelcase-doc-0.04-r2.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-string-compare-constanttime-0.321-r7.apk | 7.1 KiB | 2025-Jun-30 10:14 |
perl-string-compare-constanttime-doc-0.321-r7.apk | 5.3 KiB | 2025-Jun-30 10:14 |
perl-string-crc32-2.100-r5.apk | 6.7 KiB | 2025-Jun-30 10:14 |
perl-string-crc32-doc-2.100-r5.apk | 3.4 KiB | 2025-Jun-30 10:14 |
perl-string-escape-2010.002-r0.apk | 8.9 KiB | 2025-Mar-28 19:15 |
perl-string-escape-doc-2010.002-r0.apk | 7.8 KiB | 2025-Mar-28 19:15 |
perl-string-random-0.32-r2.apk | 7.9 KiB | 2024-Oct-25 23:07 |
perl-string-random-doc-0.32-r2.apk | 6.2 KiB | 2024-Oct-25 23:07 |
perl-string-toidentifier-en-0.12-r0.apk | 5.4 KiB | 2025-Jun-09 15:06 |
perl-string-toidentifier-en-doc-0.12-r0.apk | 5.6 KiB | 2025-Jun-09 15:06 |
perl-syntax-keyword-match-0.15-r1.apk | 13.3 KiB | 2025-Jun-30 10:14 |
perl-syntax-keyword-match-doc-0.15-r1.apk | 7.8 KiB | 2025-Jun-30 10:14 |
perl-syntax-operator-equ-0.10-r1.apk | 7.8 KiB | 2025-Jun-30 10:14 |
perl-syntax-operator-equ-doc-0.10-r1.apk | 6.6 KiB | 2025-Jun-30 10:14 |
perl-syntax-operator-in-0.10-r1.apk | 9.4 KiB | 2025-Jun-30 10:14 |
perl-syntax-operator-in-doc-0.10-r1.apk | 5.9 KiB | 2025-Jun-30 10:14 |
perl-sys-syscall-0.25-r10.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-sys-syscall-doc-0.25-r10.apk | 3.7 KiB | 2024-Oct-25 23:07 |
perl-sys-virt-11.1.0-r1.apk | 194.8 KiB | 2025-Jun-30 10:14 |
perl-sys-virt-doc-11.1.0-r1.apk | 99.5 KiB | 2025-Jun-30 10:14 |
perl-system-command-1.122-r0.apk | 11.8 KiB | 2024-Oct-25 23:07 |
perl-system-command-doc-1.122-r0.apk | 10.2 KiB | 2024-Oct-25 23:07 |
perl-task-catalyst-4.02-r0.apk | 2.9 KiB | 2025-Jun-15 07:46 |
perl-task-catalyst-doc-4.02-r0.apk | 3.7 KiB | 2025-Jun-15 07:46 |
perl-template-plugin-csv-0.04-r3.apk | 2.7 KiB | 2024-Oct-25 23:07 |
perl-template-plugin-csv-doc-0.04-r3.apk | 3.0 KiB | 2024-Oct-25 23:07 |
perl-template-plugin-number-format-1.06-r4.apk | 4.9 KiB | 2024-Oct-25 23:07 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4.4 KiB | 2024-Oct-25 23:07 |
perl-template-timer-1.00-r0.apk | 3.4 KiB | 2025-Apr-12 18:51 |
perl-template-timer-doc-1.00-r0.apk | 3.7 KiB | 2025-Apr-12 18:51 |
perl-template-tiny-1.16-r0.apk | 5.5 KiB | 2025-Jul-24 15:01 |
perl-template-tiny-doc-1.16-r0.apk | 5.0 KiB | 2025-Jul-24 15:01 |
perl-term-size-0.211-r5.apk | 5.5 KiB | 2025-Jun-30 10:14 |
perl-term-size-doc-0.211-r5.apk | 3.8 KiB | 2025-Jun-30 10:14 |
perl-term-ui-0.50-r1.apk | 10.0 KiB | 2024-Oct-25 23:07 |
perl-term-ui-doc-0.50-r1.apk | 8.5 KiB | 2024-Oct-25 23:07 |
perl-test-api-0.010-r2.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-test-api-doc-0.010-r2.apk | 4.2 KiB | 2024-Oct-25 23:07 |
perl-test-class-tiny-0.03-r0.apk | 5.9 KiB | 2024-Oct-25 23:07 |
perl-test-class-tiny-doc-0.03-r0.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-test-describeme-0.004-r0.apk | 3.6 KiB | 2024-Oct-25 23:07 |
perl-test-describeme-doc-0.004-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
perl-test-distribution-2.00-r1.apk | 7.7 KiB | 2024-Oct-25 23:07 |
perl-test-distribution-doc-2.00-r1.apk | 6.1 KiB | 2024-Oct-25 23:07 |
perl-test-expander-2.5.1-r0.apk | 7.1 KiB | 2024-Oct-25 23:07 |
perl-test-expander-doc-2.5.1-r0.apk | 20.1 KiB | 2024-Oct-25 23:07 |
perl-test-expect-0.34-r0.apk | 3.5 KiB | 2025-Apr-23 00:28 |
perl-test-expect-doc-0.34-r0.apk | 3.6 KiB | 2025-Apr-23 00:28 |
perl-test-file-1.995-r0.apk | 11.4 KiB | 2025-Apr-19 20:55 |
perl-test-file-doc-1.995-r0.apk | 6.8 KiB | 2025-Apr-19 20:55 |
perl-test-files-0.26-r0.apk | 6.7 KiB | 2024-Oct-25 23:07 |
perl-test-files-doc-0.26-r0.apk | 14.6 KiB | 2024-Oct-25 23:07 |
perl-test-kwalitee-1.28-r0.apk | 6.4 KiB | 2025-Jul-15 11:22 |
perl-test-kwalitee-doc-1.28-r0.apk | 6.9 KiB | 2025-Jul-15 11:22 |
perl-test-lwp-useragent-0.036-r0.apk | 9.8 KiB | 2024-Oct-25 23:07 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8.3 KiB | 2024-Oct-25 23:07 |
perl-test-memorygrowth-0.05-r0.apk | 6.4 KiB | 2024-Oct-25 23:07 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5.3 KiB | 2024-Oct-25 23:07 |
perl-test-modern-0.013-r3.apk | 14.6 KiB | 2024-Oct-25 23:07 |
perl-test-modern-doc-0.013-r3.apk | 9.8 KiB | 2024-Oct-25 23:07 |
perl-test-perl-critic-1.04-r0.apk | 6.8 KiB | 2025-Jul-15 11:22 |
perl-test-perl-critic-doc-1.04-r0.apk | 6.4 KiB | 2025-Jul-15 11:22 |
perl-test-randomresult-0.001-r0.apk | 3.5 KiB | 2024-Oct-25 23:07 |
perl-test-randomresult-doc-0.001-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
perl-test-requires-git-1.008-r0.apk | 4.8 KiB | 2024-Oct-25 23:07 |
perl-test-requires-git-doc-1.008-r0.apk | 4.4 KiB | 2024-Oct-25 23:07 |
perl-test-roo-1.004-r3.apk | 11.9 KiB | 2024-Oct-25 23:07 |
perl-test-roo-doc-1.004-r3.apk | 15.4 KiB | 2024-Oct-25 23:07 |
perl-test-settings-0.003-r0.apk | 4.9 KiB | 2024-Oct-25 23:07 |
perl-test-settings-doc-0.003-r0.apk | 6.0 KiB | 2024-Oct-25 23:07 |
perl-test-timer-2.12-r2.apk | 8.9 KiB | 2024-Oct-25 23:07 |
perl-test-timer-doc-2.12-r2.apk | 8.4 KiB | 2024-Oct-25 23:07 |
perl-test-toolbox-0.4-r5.apk | 9.8 KiB | 2024-Oct-25 23:07 |
perl-test-toolbox-doc-0.4-r5.apk | 6.2 KiB | 2024-Oct-25 23:07 |
perl-test-trap-0.3.5-r1.apk | 19.8 KiB | 2024-Oct-25 23:07 |
perl-test-trap-doc-0.3.5-r1.apk | 19.9 KiB | 2024-Oct-25 23:07 |
perl-test-unit-0.27-r0.apk | 36.8 KiB | 2024-Oct-25 23:07 |
perl-test-unit-doc-0.27-r0.apk | 48.3 KiB | 2024-Oct-25 23:07 |
perl-test-useallmodules-0.17-r1.apk | 3.8 KiB | 2024-Oct-25 23:07 |
perl-test-useallmodules-doc-0.17-r1.apk | 3.8 KiB | 2024-Oct-25 23:07 |
perl-test-utf8-1.03-r0.apk | 5.6 KiB | 2024-Nov-20 01:34 |
perl-test-utf8-doc-1.03-r0.apk | 4.9 KiB | 2024-Nov-20 01:34 |
perl-test-www-mechanize-1.60-r0.apk | 14.8 KiB | 2025-Apr-13 17:57 |
perl-test-www-mechanize-catalyst-0.62-r0.apk | 7.4 KiB | 2025-Apr-20 07:32 |
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6.2 KiB | 2025-Apr-20 07:32 |
perl-test-www-mechanize-doc-1.60-r0.apk | 10.1 KiB | 2025-Apr-13 17:57 |
perl-test2-tools-explain-0.02-r0.apk | 3.8 KiB | 2024-Oct-25 23:07 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4.4 KiB | 2024-Oct-25 23:07 |
perl-text-brew-0.02-r5.apk | 4.5 KiB | 2024-Oct-25 23:07 |
perl-text-brew-doc-0.02-r5.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-text-german-0.06-r0.apk | 13.1 KiB | 2025-Jun-07 05:00 |
perl-text-german-doc-0.06-r0.apk | 3.0 KiB | 2025-Jun-07 05:00 |
perl-text-simpletable-2.07-r0.apk | 4.5 KiB | 2025-Mar-19 14:44 |
perl-text-simpletable-doc-2.07-r0.apk | 3.5 KiB | 2025-Mar-19 14:44 |
perl-text-table-any-0.117-r0.apk | 8.1 KiB | 2024-Oct-25 23:07 |
perl-text-table-any-doc-0.117-r0.apk | 6.6 KiB | 2024-Oct-25 23:07 |
perl-text-table-sprintf-0.008-r0.apk | 5.3 KiB | 2024-Oct-25 23:07 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5.2 KiB | 2024-Oct-25 23:07 |
perl-text-worddiff-0.09-r0.apk | 10.4 KiB | 2025-Jun-09 19:26 |
perl-text-worddiff-doc-0.09-r0.apk | 13.7 KiB | 2025-Jun-09 19:26 |
perl-throwable-1.001-r1.apk | 6.2 KiB | 2024-Oct-25 23:07 |
perl-throwable-doc-1.001-r1.apk | 8.0 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-choice-0.07-r0.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-entry-plugin-completion-0.02-..> | 4.6 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-entry-plugin-completion-doc-0..> | 3.8 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4.7 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-menu-0.16-r0.apk | 7.2 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6.9 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 8.0 KiB | 2024-Oct-25 23:07 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.5 KiB | 2024-Oct-25 23:07 |
perl-tie-toobject-0.03-r0.apk | 2.6 KiB | 2025-Mar-19 14:44 |
perl-tie-toobject-doc-0.03-r0.apk | 3.2 KiB | 2025-Mar-19 14:44 |
perl-time-moment-0.44-r1.apk | 39.3 KiB | 2025-Jun-30 10:14 |
perl-time-moment-doc-0.44-r1.apk | 30.9 KiB | 2025-Jun-30 10:14 |
perl-time-moment-role-strptime-0.001-r0.apk | 2.7 KiB | 2024-Oct-25 23:07 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
perl-time-moment-role-timezone-1.000-r0.apk | 3.6 KiB | 2024-Oct-25 23:07 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 4.0 KiB | 2024-Oct-25 23:07 |
perl-time-timegm-0.01-r10.apk | 6.4 KiB | 2025-Jun-30 10:14 |
perl-time-timegm-doc-0.01-r10.apk | 3.9 KiB | 2025-Jun-30 10:14 |
perl-tree-simple-visitorfactory-0.16-r0.apk | 19.9 KiB | 2025-Mar-19 14:44 |
perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 46.2 KiB | 2025-Mar-19 14:44 |
perl-types-path-tiny-0.006-r0.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-types-path-tiny-doc-0.006-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
perl-uri-db-0.23-r0.apk | 10.9 KiB | 2025-Jan-09 08:26 |
perl-uri-db-doc-0.23-r0.apk | 8.4 KiB | 2025-Jan-09 08:26 |
perl-uri-fetch-0.15-r0.apk | 7.0 KiB | 2024-Oct-25 23:07 |
perl-uri-fetch-doc-0.15-r0.apk | 7.5 KiB | 2024-Oct-25 23:07 |
perl-uri-find-20160806-r0.apk | 13.6 KiB | 2025-Mar-23 10:58 |
perl-uri-find-doc-20160806-r0.apk | 9.1 KiB | 2025-Mar-23 10:58 |
perl-uri-nested-0.10-r0.apk | 4.0 KiB | 2024-Oct-25 23:07 |
perl-uri-nested-doc-0.10-r0.apk | 3.9 KiB | 2024-Oct-25 23:07 |
perl-uri-redis-0.02-r0.apk | 3.2 KiB | 2024-Oct-25 23:07 |
perl-uri-redis-doc-0.02-r0.apk | 4.5 KiB | 2024-Oct-25 23:07 |
perl-uri-tcp-2.0.0-r0.apk | 2.7 KiB | 2024-Oct-25 23:07 |
perl-uri-tcp-doc-2.0.0-r0.apk | 4.9 KiB | 2024-Oct-25 23:07 |
perl-uri-ws-0.03-r0.apk | 2.3 KiB | 2025-Mar-19 14:44 |
perl-uri-ws-doc-0.03-r0.apk | 4.3 KiB | 2025-Mar-19 14:44 |
perl-url-encode-0.03-r4.apk | 5.1 KiB | 2024-Oct-25 23:07 |
perl-url-encode-doc-0.03-r4.apk | 4.7 KiB | 2024-Oct-25 23:07 |
perl-variable-disposition-0.005-r0.apk | 3.2 KiB | 2024-Oct-25 23:07 |
perl-variable-disposition-doc-0.005-r0.apk | 5.6 KiB | 2024-Oct-25 23:07 |
perl-web-machine-0.17-r0.apk | 20.3 KiB | 2025-Jun-13 21:17 |
perl-web-machine-doc-0.17-r0.apk | 28.7 KiB | 2025-Jun-13 21:17 |
perl-web-scraper-0.38-r0.apk | 7.5 KiB | 2025-Jul-15 11:22 |
perl-web-scraper-doc-0.38-r0.apk | 8.1 KiB | 2025-Jul-15 11:22 |
perl-www-noss-1.06-r0.apk | 42.1 KiB | 2025-Jul-26 18:36 |
perl-www-noss-doc-1.06-r0.apk | 41.6 KiB | 2025-Jul-26 18:36 |
perl-x-tiny-0.22-r0.apk | 6.9 KiB | 2024-Oct-25 23:07 |
perl-x-tiny-doc-0.22-r0.apk | 7.6 KiB | 2024-Oct-25 23:07 |
perl-xml-atom-0.43-r0.apk | 19.6 KiB | 2024-Oct-25 23:07 |
perl-xml-atom-doc-0.43-r0.apk | 15.9 KiB | 2024-Oct-25 23:07 |
perl-xml-bare-0.53-r14.apk | 27.1 KiB | 2025-Jun-30 10:14 |
perl-xml-bare-doc-0.53-r14.apk | 11.5 KiB | 2025-Jun-30 10:14 |
perl-xml-feed-0.65-r0.apk | 13.8 KiB | 2024-Oct-25 23:07 |
perl-xml-feed-doc-0.65-r0.apk | 12.4 KiB | 2024-Oct-25 23:07 |
perl-xml-parser-style-easytree-0.09-r0.apk | 5.0 KiB | 2024-Oct-25 23:07 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5.4 KiB | 2024-Oct-25 23:07 |
perl-xml-rpc-2.1-r0.apk | 5.7 KiB | 2024-Oct-25 23:07 |
perl-xml-rpc-doc-2.1-r0.apk | 4.9 KiB | 2024-Oct-25 23:07 |
perl-xml-stream-1.24-r0.apk | 44.0 KiB | 2024-Oct-25 23:07 |
perl-xml-stream-doc-1.24-r0.apk | 17.6 KiB | 2024-Oct-25 23:07 |
perl-xml-xpathengine-0.14-r0.apk | 21.7 KiB | 2025-Jul-08 19:54 |
perl-xml-xpathengine-doc-0.14-r0.apk | 11.0 KiB | 2025-Jul-08 19:54 |
persistent-cache-cpp-1.0.7-r4.apk | 45.5 KiB | 2025-Feb-17 15:07 |
persistent-cache-cpp-dev-1.0.7-r4.apk | 17.8 KiB | 2025-Feb-17 15:07 |
persistent-cache-cpp-doc-1.0.7-r4.apk | 3.1 KiB | 2025-Feb-17 15:07 |
pest-language-server-0.3.9-r0.apk | 1.1 MiB | 2024-Oct-25 23:07 |
pfetch-1.9.0-r0.apk | 23.2 KiB | 2025-Apr-10 15:59 |
pfetch-doc-1.9.0-r0.apk | 5.7 KiB | 2025-Apr-10 15:59 |
pgcat-1.2.0-r1.apk | 2.6 MiB | 2025-Jan-01 20:37 |
pgcat-openrc-1.2.0-r1.apk | 1.9 KiB | 2025-Jan-01 20:37 |
phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2024-Oct-25 23:07 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.7 KiB | 2024-Oct-25 23:07 |
phoronix-test-suite-doc-10.8.4-r2.apk | 287.5 KiB | 2024-Oct-25 23:07 |
php81-8.1.33-r0.apk | 1.7 MiB | 2025-Jul-03 01:15 |
php81-apache2-8.1.33-r0.apk | 1.7 MiB | 2025-Jul-03 01:15 |
php81-bcmath-8.1.33-r0.apk | 14.4 KiB | 2025-Jul-03 01:15 |
php81-bz2-8.1.33-r0.apk | 9.1 KiB | 2025-Jul-03 01:15 |
php81-calendar-8.1.33-r0.apk | 13.3 KiB | 2025-Jul-03 01:15 |
php81-cgi-8.1.33-r0.apk | 1.7 MiB | 2025-Jul-03 01:15 |
php81-common-8.1.33-r0.apk | 25.2 KiB | 2025-Jul-03 01:15 |
php81-ctype-8.1.33-r0.apk | 4.6 KiB | 2025-Jul-03 01:15 |
php81-curl-8.1.33-r0.apk | 35.7 KiB | 2025-Jul-03 01:15 |
php81-dba-8.1.33-r0.apk | 20.5 KiB | 2025-Jul-03 01:15 |
php81-dev-8.1.33-r0.apk | 939.0 KiB | 2025-Jul-03 01:15 |
php81-doc-8.1.33-r0.apk | 68.1 KiB | 2025-Jul-03 01:15 |
php81-dom-8.1.33-r0.apk | 57.1 KiB | 2025-Jul-03 01:15 |
php81-embed-8.1.33-r0.apk | 1.7 MiB | 2025-Jul-03 01:15 |
php81-enchant-8.1.33-r0.apk | 8.0 KiB | 2025-Jul-03 01:15 |
php81-exif-8.1.33-r0.apk | 31.0 KiB | 2025-Jul-03 01:15 |
php81-ffi-8.1.33-r0.apk | 64.2 KiB | 2025-Jul-03 01:15 |
php81-fileinfo-8.1.33-r0.apk | 375.3 KiB | 2025-Jul-03 01:15 |
php81-fpm-8.1.33-r0.apk | 1.8 MiB | 2025-Jul-03 01:15 |
php81-ftp-8.1.33-r0.apk | 21.5 KiB | 2025-Jul-03 01:15 |
php81-gd-8.1.33-r0.apk | 115.1 KiB | 2025-Jul-03 01:15 |
php81-gettext-8.1.33-r0.apk | 5.6 KiB | 2025-Jul-03 01:15 |
php81-gmp-8.1.33-r0.apk | 19.5 KiB | 2025-Jul-03 01:15 |
php81-iconv-8.1.33-r0.apk | 16.4 KiB | 2025-Jul-03 01:15 |
php81-imap-8.1.33-r0.apk | 31.9 KiB | 2025-Jul-03 01:15 |
php81-intl-8.1.33-r0.apk | 132.2 KiB | 2025-Jul-03 01:15 |
php81-ldap-8.1.33-r0.apk | 29.6 KiB | 2025-Jul-03 01:15 |
php81-litespeed-8.1.33-r0.apk | 1.7 MiB | 2025-Jul-03 01:15 |
php81-mbstring-8.1.33-r0.apk | 563.6 KiB | 2025-Jul-03 01:15 |
php81-mysqli-8.1.33-r0.apk | 40.1 KiB | 2025-Jul-03 01:15 |
php81-mysqlnd-8.1.33-r0.apk | 75.1 KiB | 2025-Jul-03 01:15 |
php81-odbc-8.1.33-r0.apk | 22.1 KiB | 2025-Jul-03 01:15 |
php81-opcache-8.1.33-r0.apk | 66.0 KiB | 2025-Jul-03 01:15 |
php81-openssl-8.1.33-r0.apk | 69.2 KiB | 2025-Jul-03 01:15 |
php81-pcntl-8.1.33-r0.apk | 12.7 KiB | 2025-Jul-03 01:15 |
php81-pdo-8.1.33-r0.apk | 38.8 KiB | 2025-Jul-03 01:15 |
php81-pdo_dblib-8.1.33-r0.apk | 11.1 KiB | 2025-Jul-03 01:15 |
php81-pdo_mysql-8.1.33-r0.apk | 12.3 KiB | 2025-Jul-03 01:15 |
php81-pdo_odbc-8.1.33-r0.apk | 11.8 KiB | 2025-Jul-03 01:15 |
php81-pdo_pgsql-8.1.33-r0.apk | 17.6 KiB | 2025-Jul-03 01:15 |
php81-pdo_sqlite-8.1.33-r0.apk | 11.7 KiB | 2025-Jul-03 01:15 |
php81-pear-8.1.33-r0.apk | 337.9 KiB | 2025-Jul-03 01:15 |
php81-pecl-amqp-2.1.2-r0.apk | 59.3 KiB | 2024-Oct-25 23:07 |
php81-pecl-apcu-5.1.24-r0.apk | 53.6 KiB | 2024-Oct-25 23:07 |
php81-pecl-ast-1.1.2-r0.apk | 20.8 KiB | 2024-Oct-25 23:07 |
php81-pecl-brotli-0.18.0-r0.apk | 14.1 KiB | 2025-Jun-17 22:46 |
php81-pecl-couchbase-4.3.0-r0.apk | 4.5 MiB | 2025-Jun-15 07:01 |
php81-pecl-csv-0.4.3-r0.apk | 9.9 KiB | 2025-Feb-25 18:45 |
php81-pecl-decimal-1.5.0-r1.apk | 17.9 KiB | 2024-Oct-25 23:07 |
php81-pecl-ds-1.6.0-r0.apk | 51.3 KiB | 2025-May-15 00:14 |
php81-pecl-event-3.1.4-r0.apk | 48.2 KiB | 2024-Oct-25 23:07 |
php81-pecl-grpc-1.72.0-r0.apk | 4.3 MiB | 2025-Apr-29 22:46 |
php81-pecl-igbinary-3.2.16-r0.apk | 29.0 KiB | 2024-Oct-25 23:07 |
php81-pecl-imagick-3.8.0-r0.apk | 105.0 KiB | 2025-Apr-10 23:03 |
php81-pecl-imagick-dev-3.8.0-r0.apk | 2.3 KiB | 2025-Apr-10 23:03 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 37.5 KiB | 2024-Oct-25 23:07 |
php81-pecl-jsmin-3.0.0-r0.apk | 9.9 KiB | 2024-Oct-25 23:07 |
php81-pecl-luasandbox-4.1.2-r0.apk | 29.4 KiB | 2024-Oct-25 23:07 |
php81-pecl-lzf-1.7.0-r0.apk | 6.8 KiB | 2024-Oct-25 23:07 |
php81-pecl-mailparse-3.1.8-r0.apk | 22.2 KiB | 2024-Oct-25 23:07 |
php81-pecl-maxminddb-1.12.1-r0.apk | 8.2 KiB | 2025-May-15 00:14 |
php81-pecl-mcrypt-1.0.7-r0.apk | 15.3 KiB | 2024-Oct-25 23:07 |
php81-pecl-memcache-8.2-r1.apk | 42.4 KiB | 2024-Oct-25 23:07 |
php81-pecl-memcached-3.3.0-r0.apk | 46.2 KiB | 2024-Oct-25 23:07 |
php81-pecl-mongodb-2.1.1-r0.apk | 841.2 KiB | 2025-Jun-15 07:01 |
php81-pecl-msgpack-3.0.0-r0.apk | 27.1 KiB | 2024-Oct-25 23:07 |
php81-pecl-oauth-2.0.9-r0.apk | 35.7 KiB | 2024-Oct-25 23:07 |
php81-pecl-opentelemetry-1.2.0-r0.apk | 11.9 KiB | 2025-Jul-15 11:22 |
php81-pecl-pcov-1.0.12-r0.apk | 9.2 KiB | 2024-Dec-04 20:17 |
php81-pecl-protobuf-4.30.0-r0.apk | 137.9 KiB | 2025-Mar-05 21:38 |
php81-pecl-psr-1.2.0-r0.apk | 17.7 KiB | 2024-Oct-25 23:07 |
php81-pecl-rdkafka-6.0.5-r0.apk | 35.8 KiB | 2024-Nov-04 15:51 |
php81-pecl-redis-6.2.0-r0.apk | 193.1 KiB | 2025-Mar-27 13:58 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 20.3 KiB | 2024-Dec-10 22:01 |
php81-pecl-ssh2-1.4.1-r0.apk | 27.7 KiB | 2024-Oct-25 23:07 |
php81-pecl-timezonedb-2025.2-r0.apk | 190.7 KiB | 2025-Mar-27 14:06 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6.8 KiB | 2024-Oct-25 23:07 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.9 KiB | 2024-Oct-25 23:07 |
php81-pecl-uuid-1.3.0-r0.apk | 6.5 KiB | 2025-May-15 00:14 |
php81-pecl-xdebug-3.4.5-r0.apk | 144.1 KiB | 2025-Jul-24 04:27 |
php81-pecl-xhprof-2.3.10-r0.apk | 12.2 KiB | 2024-Oct-25 23:07 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 800.6 KiB | 2024-Oct-25 23:07 |
php81-pecl-xlswriter-1.5.8-r0.apk | 219.9 KiB | 2024-Nov-11 04:44 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 33.0 KiB | 2024-Oct-25 23:07 |
php81-pecl-yaml-2.2.4-r0.apk | 18.1 KiB | 2024-Oct-25 23:07 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 64.7 KiB | 2024-Nov-24 20:25 |
php81-pecl-zstd-0.14.0-r0.apk | 13.3 KiB | 2024-Nov-06 17:44 |
php81-pgsql-8.1.33-r0.apk | 42.9 KiB | 2025-Jul-03 01:15 |
php81-phar-8.1.33-r0.apk | 117.9 KiB | 2025-Jul-03 01:15 |
php81-phpdbg-8.1.33-r0.apk | 1.7 MiB | 2025-Jul-03 01:15 |
php81-posix-8.1.33-r0.apk | 10.3 KiB | 2025-Jul-03 01:15 |
php81-pspell-8.1.33-r0.apk | 7.8 KiB | 2025-Jul-03 01:15 |
php81-session-8.1.33-r0.apk | 34.7 KiB | 2025-Jul-03 01:15 |
php81-shmop-8.1.33-r0.apk | 5.8 KiB | 2025-Jul-03 01:15 |
php81-simplexml-8.1.33-r0.apk | 20.7 KiB | 2025-Jul-03 01:15 |
php81-snmp-8.1.33-r0.apk | 19.1 KiB | 2025-Jul-03 01:15 |
php81-soap-8.1.33-r0.apk | 127.9 KiB | 2025-Jul-03 01:15 |
php81-sockets-8.1.33-r0.apk | 34.1 KiB | 2025-Jul-03 01:15 |
php81-sodium-8.1.33-r0.apk | 25.6 KiB | 2025-Jul-03 01:15 |
php81-sqlite3-8.1.33-r0.apk | 19.2 KiB | 2025-Jul-03 01:15 |
php81-sysvmsg-8.1.33-r0.apk | 7.2 KiB | 2025-Jul-03 01:15 |
php81-sysvsem-8.1.33-r0.apk | 5.5 KiB | 2025-Jul-03 01:15 |
php81-sysvshm-8.1.33-r0.apk | 6.3 KiB | 2025-Jul-03 01:15 |
php81-tideways_xhprof-5.0.4-r1.apk | 12.9 KiB | 2024-Oct-25 23:07 |
php81-tidy-8.1.33-r0.apk | 17.8 KiB | 2025-Jul-03 01:15 |
php81-tokenizer-8.1.33-r0.apk | 11.6 KiB | 2025-Jul-03 01:15 |
php81-xml-8.1.33-r0.apk | 17.7 KiB | 2025-Jul-03 01:15 |
php81-xmlreader-8.1.33-r0.apk | 12.3 KiB | 2025-Jul-03 01:15 |
php81-xmlwriter-8.1.33-r0.apk | 11.3 KiB | 2025-Jul-03 01:15 |
php81-xsl-8.1.33-r0.apk | 12.3 KiB | 2025-Jul-03 01:15 |
php81-zip-8.1.33-r0.apk | 24.5 KiB | 2025-Jul-03 01:15 |
php82-pdlib-1.1.0-r1.apk | 489.7 KiB | 2024-Oct-25 23:07 |
php82-pecl-apfd-1.0.3-r0.apk | 4.4 KiB | 2024-Oct-25 23:07 |
php82-pecl-excimer-1.2.5-r0.apk | 19.8 KiB | 2025-May-20 18:41 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 37.7 KiB | 2024-Oct-25 23:07 |
php82-pecl-jsmin-3.0.0-r0.apk | 9.9 KiB | 2024-Oct-25 23:07 |
php82-pecl-oauth-2.0.9-r0.apk | 35.9 KiB | 2024-Oct-25 23:07 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 28.6 KiB | 2024-Oct-25 23:07 |
php82-pecl-teds-1.3.0-r0.apk | 111.2 KiB | 2024-Oct-25 23:07 |
php82-pecl-vld-0.19.1-r0.apk | 14.9 KiB | 2025-Jul-24 04:27 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 64.7 KiB | 2024-Nov-24 20:25 |
php82-snappy-0.2.3-r0.apk | 5.1 KiB | 2025-Apr-09 21:19 |
php83-pecl-apfd-1.0.3-r0.apk | 4.4 KiB | 2024-Oct-25 23:07 |
php83-pecl-eio-3.1.4-r0.apk | 27.7 KiB | 2025-Jul-24 04:27 |
php83-pecl-ev-1.2.1-r0.apk | 37.8 KiB | 2025-Jul-24 04:27 |
php83-pecl-excimer-1.2.5-r0.apk | 19.9 KiB | 2025-May-20 18:41 |
php83-pecl-jsmin-3.0.0-r0.apk | 9.9 KiB | 2024-Oct-25 23:07 |
php83-pecl-oauth-2.0.9-r0.apk | 35.9 KiB | 2024-Oct-25 23:07 |
php83-pecl-phpy-1.0.11-r1.apk | 38.8 KiB | 2025-May-15 00:14 |
php83-pecl-uv-0.3.0-r0.apk | 50.7 KiB | 2024-Oct-25 23:07 |
php83-pecl-vld-0.19.1-r0.apk | 14.9 KiB | 2025-Jul-24 04:27 |
php83-pecl-zmq-1.1.4-r0.apk | 30.4 KiB | 2024-Oct-25 23:07 |
php84-pecl-csv-0.4.3-r0.apk | 9.9 KiB | 2025-Feb-25 18:45 |
php84-pecl-ev-1.2.1-r0.apk | 37.9 KiB | 2025-Jul-24 04:27 |
php84-pecl-oauth-2.0.9-r0.apk | 36.0 KiB | 2024-Oct-25 23:07 |
php84-pecl-phpy-1.0.11-r1.apk | 38.8 KiB | 2025-May-15 00:14 |
php84-pecl-solr-2.8.1-r0.apk | 88.7 KiB | 2025-Apr-23 07:24 |
php84-pecl-uv-0.3.0-r0.apk | 50.7 KiB | 2024-Oct-28 15:47 |
php84-pecl-vld-0.19.1-r0.apk | 15.2 KiB | 2025-Jul-24 04:27 |
php84-snappy-0.2.3-r0.apk | 5.0 KiB | 2025-Apr-09 21:19 |
php85-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-apache2-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-bcmath-8.5.0_alpha2-r0.apk | 25.1 KiB | 2025-Jul-16 11:09 |
php85-bz2-8.5.0_alpha2-r0.apk | 9.5 KiB | 2025-Jul-16 11:09 |
php85-calendar-8.5.0_alpha2-r0.apk | 13.1 KiB | 2025-Jul-16 11:09 |
php85-cgi-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-common-8.5.0_alpha2-r0.apk | 24.1 KiB | 2025-Jul-16 11:09 |
php85-ctype-8.5.0_alpha2-r0.apk | 4.6 KiB | 2025-Jul-16 11:09 |
php85-curl-8.5.0_alpha2-r0.apk | 43.2 KiB | 2025-Jul-16 11:09 |
php85-dba-8.5.0_alpha2-r0.apk | 23.0 KiB | 2025-Jul-16 11:09 |
php85-dbg-8.5.0_alpha2-r0.apk | 52.4 MiB | 2025-Jul-16 11:09 |
php85-dev-8.5.0_alpha2-r0.apk | 1.1 MiB | 2025-Jul-16 11:09 |
php85-doc-8.5.0_alpha2-r0.apk | 49.5 KiB | 2025-Jul-16 11:09 |
php85-dom-8.5.0_alpha2-r0.apk | 136.9 KiB | 2025-Jul-16 11:09 |
php85-embed-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-enchant-8.5.0_alpha2-r0.apk | 9.1 KiB | 2025-Jul-16 11:09 |
php85-exif-8.5.0_alpha2-r0.apk | 31.0 KiB | 2025-Jul-16 11:09 |
php85-ffi-8.5.0_alpha2-r0.apk | 66.3 KiB | 2025-Jul-16 11:09 |
php85-fileinfo-8.5.0_alpha2-r0.apk | 497.1 KiB | 2025-Jul-16 11:09 |
php85-fpm-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-ftp-8.5.0_alpha2-r0.apk | 23.0 KiB | 2025-Jul-16 11:09 |
php85-gd-8.5.0_alpha2-r0.apk | 120.7 KiB | 2025-Jul-16 11:09 |
php85-gettext-8.5.0_alpha2-r0.apk | 6.5 KiB | 2025-Jul-16 11:09 |
php85-gmp-8.5.0_alpha2-r0.apk | 19.2 KiB | 2025-Jul-16 11:09 |
php85-iconv-8.5.0_alpha2-r0.apk | 16.8 KiB | 2025-Jul-16 11:09 |
php85-intl-8.5.0_alpha2-r0.apk | 163.3 KiB | 2025-Jul-16 11:09 |
php85-ldap-8.5.0_alpha2-r0.apk | 31.1 KiB | 2025-Jul-16 11:09 |
php85-litespeed-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-mbstring-8.5.0_alpha2-r0.apk | 626.4 KiB | 2025-Jul-16 11:09 |
php85-mysqli-8.5.0_alpha2-r0.apk | 43.2 KiB | 2025-Jul-16 11:09 |
php85-mysqlnd-8.5.0_alpha2-r0.apk | 73.7 KiB | 2025-Jul-16 11:09 |
php85-odbc-8.5.0_alpha2-r0.apk | 25.3 KiB | 2025-Jul-16 11:09 |
php85-opcache-8.5.0_alpha2-r0.apk | 70.8 KiB | 2025-Jul-16 11:09 |
php85-openssl-8.5.0_alpha2-r0.apk | 75.3 KiB | 2025-Jul-16 11:09 |
php85-pcntl-8.5.0_alpha2-r0.apk | 17.2 KiB | 2025-Jul-16 11:09 |
php85-pdo-8.5.0_alpha2-r0.apk | 41.8 KiB | 2025-Jul-16 11:09 |
php85-pdo_dblib-8.5.0_alpha2-r0.apk | 11.8 KiB | 2025-Jul-16 11:09 |
php85-pdo_mysql-8.5.0_alpha2-r0.apk | 14.1 KiB | 2025-Jul-16 11:09 |
php85-pdo_odbc-8.5.0_alpha2-r0.apk | 13.5 KiB | 2025-Jul-16 11:09 |
php85-pdo_pgsql-8.5.0_alpha2-r0.apk | 22.4 KiB | 2025-Jul-16 11:09 |
php85-pdo_sqlite-8.5.0_alpha2-r0.apk | 16.8 KiB | 2025-Jul-16 11:09 |
php85-pear-8.5.0_alpha2-r0.apk | 337.9 KiB | 2025-Jul-16 11:09 |
php85-pecl-apcu-5.1.24-r0.apk | 54.2 KiB | 2025-Jul-02 21:59 |
php85-pecl-brotli-0.18.0-r0.apk | 14.2 KiB | 2025-Jul-02 21:59 |
php85-pecl-ev-1.2.1-r0.apk | 37.9 KiB | 2025-Jul-24 04:27 |
php85-pecl-igbinary-3.2.16-r0.apk | 29.1 KiB | 2025-Jul-02 21:59 |
php85-pecl-uploadprogress-2.0.2-r0.apk | 6.8 KiB | 2025-Jul-02 21:59 |
php85-pecl-uploadprogress-doc-2.0.2-r0.apk | 9.6 KiB | 2025-Jul-02 21:59 |
php85-pecl-vld-0.19.1-r0.apk | 15.2 KiB | 2025-Jul-24 04:27 |
php85-pecl-xdebug-3.4.5-r0.apk | 144.5 KiB | 2025-Jul-24 04:27 |
php85-pecl-xhprof-2.3.10-r0.apk | 12.2 KiB | 2025-Jul-02 21:59 |
php85-pecl-xhprof-assets-2.3.10-r0.apk | 807.1 KiB | 2025-Jul-02 21:59 |
php85-pecl-yaml-2.2.4-r0.apk | 18.2 KiB | 2025-Jul-02 21:59 |
php85-pgsql-8.5.0_alpha2-r0.apk | 53.0 KiB | 2025-Jul-16 11:09 |
php85-phar-8.5.0_alpha2-r0.apk | 118.6 KiB | 2025-Jul-16 11:09 |
php85-phpdbg-8.5.0_alpha2-r0.apk | 2.5 MiB | 2025-Jul-16 11:09 |
php85-posix-8.5.0_alpha2-r0.apk | 11.3 KiB | 2025-Jul-16 11:09 |
php85-session-8.5.0_alpha2-r0.apk | 38.1 KiB | 2025-Jul-16 11:09 |
php85-shmop-8.5.0_alpha2-r0.apk | 6.1 KiB | 2025-Jul-16 11:09 |
php85-simplexml-8.5.0_alpha2-r0.apk | 20.2 KiB | 2025-Jul-16 11:09 |
php85-snmp-8.5.0_alpha2-r0.apk | 20.1 KiB | 2025-Jul-16 11:09 |
php85-soap-8.5.0_alpha2-r0.apk | 132.5 KiB | 2025-Jul-16 11:09 |
php85-sockets-8.5.0_alpha2-r0.apk | 39.6 KiB | 2025-Jul-16 11:09 |
php85-sodium-8.5.0_alpha2-r0.apk | 28.0 KiB | 2025-Jul-16 11:09 |
php85-sqlite3-8.5.0_alpha2-r0.apk | 22.6 KiB | 2025-Jul-16 11:09 |
php85-sysvmsg-8.5.0_alpha2-r0.apk | 7.4 KiB | 2025-Jul-16 11:09 |
php85-sysvsem-8.5.0_alpha2-r0.apk | 5.5 KiB | 2025-Jul-16 11:09 |
php85-sysvshm-8.5.0_alpha2-r0.apk | 6.4 KiB | 2025-Jul-16 11:09 |
php85-tidy-8.5.0_alpha2-r0.apk | 18.3 KiB | 2025-Jul-16 11:09 |
php85-tokenizer-8.5.0_alpha2-r0.apk | 11.7 KiB | 2025-Jul-16 11:09 |
php85-xml-8.5.0_alpha2-r0.apk | 23.0 KiB | 2025-Jul-16 11:09 |
php85-xmlreader-8.5.0_alpha2-r0.apk | 14.6 KiB | 2025-Jul-16 11:09 |
php85-xmlwriter-8.5.0_alpha2-r0.apk | 12.5 KiB | 2025-Jul-16 11:09 |
php85-xsl-8.5.0_alpha2-r0.apk | 13.4 KiB | 2025-Jul-16 11:09 |
php85-zip-8.5.0_alpha2-r0.apk | 28.7 KiB | 2025-Jul-16 11:09 |
phpactor-2025.04.17.0-r0.apk | 3.5 MiB | 2025-May-30 17:44 |
pick-4.0.0-r0.apk | 9.9 KiB | 2024-Oct-25 23:07 |
pick-doc-4.0.0-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
pict-rs-0.5.19-r0.apk | 6.9 MiB | 2025-May-19 12:18 |
pict-rs-openrc-0.5.19-r0.apk | 1.9 KiB | 2025-May-19 12:18 |
pidif-0.1-r1.apk | 165.4 KiB | 2024-Oct-25 23:07 |
piglit-0_git20241106-r1.apk | 88.1 MiB | 2025-May-15 00:14 |
pigpio-79-r4.apk | 214.4 KiB | 2024-Oct-25 23:07 |
pigpio-dev-79-r4.apk | 90.8 KiB | 2024-Oct-25 23:07 |
pigpio-doc-79-r4.apk | 115.3 KiB | 2024-Oct-25 23:07 |
pigpio-openrc-79-r4.apk | 1.6 KiB | 2024-Oct-25 23:07 |
pihole-6.2.3-r0.apk | 5.6 MiB | 2025-Jun-20 21:05 |
pihole-bash-completion-6.2.3-r0.apk | 2.2 KiB | 2025-Jun-20 21:05 |
pihole-doc-6.2.3-r0.apk | 3.8 KiB | 2025-Jun-20 21:05 |
pihole-openrc-6.2.3-r0.apk | 1.8 KiB | 2025-Jun-20 21:05 |
pimd-3.0_git20220201-r0.apk | 83.8 KiB | 2024-Oct-25 23:07 |
pimd-dense-2.1.0-r0.apk | 51.2 KiB | 2024-Oct-25 23:07 |
pimd-dense-doc-2.1.0-r0.apk | 19.7 KiB | 2024-Oct-25 23:07 |
pimd-dense-openrc-2.1.0-r0.apk | 1.9 KiB | 2024-Oct-25 23:07 |
pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2024-Oct-25 23:07 |
pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2024-Oct-25 23:07 |
pinentry-bemenu-0.14.0-r1.apk | 8.2 KiB | 2025-Jul-06 03:13 |
pipectl-0.4.1-r1.apk | 5.6 KiB | 2024-Oct-25 23:07 |
pipectl-doc-0.4.1-r1.apk | 3.0 KiB | 2024-Oct-25 23:07 |
piper-phonemize-2023.11.14.4-r9.apk | 9.0 MiB | 2025-Jul-15 11:22 |
piper-phonemize-dev-2023.11.14.4-r9.apk | 394.2 KiB | 2025-Jul-15 11:22 |
piper-phonemize-libs-2023.11.14.4-r9.apk | 71.0 KiB | 2025-Jul-15 11:22 |
piper-tts-2023.11.14.2-r14.apk | 174.7 KiB | 2025-Jul-15 11:22 |
piper-tts-dev-2023.11.14.2-r14.apk | 141.0 KiB | 2025-Jul-15 11:22 |
piping-server-0.18.0-r0.apk | 1.3 MiB | 2024-Oct-25 23:07 |
piping-server-openrc-0.18.0-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
pithos-1.6.1-r0.apk | 104.5 KiB | 2024-Oct-25 23:07 |
pithos-doc-1.6.1-r0.apk | 2.1 KiB | 2024-Oct-25 23:07 |
pithos-pyc-1.6.1-r0.apk | 154.3 KiB | 2024-Oct-25 23:07 |
pitivi-2023.03-r2.apk | 2.7 MiB | 2024-Dec-23 01:06 |
pitivi-lang-2023.03-r2.apk | 678.3 KiB | 2024-Dec-23 01:06 |
pitivi-pyc-2023.03-r2.apk | 700.2 KiB | 2024-Dec-23 01:06 |
pixiewps-1.4.2-r2.apk | 50.0 KiB | 2025-May-15 00:14 |
pixiewps-doc-1.4.2-r2.apk | 3.4 KiB | 2025-May-15 00:14 |
plakar-1.0.2-r1.apk | 15.3 MiB | 2025-Jul-25 08:05 |
plakar-doc-1.0.2-r1.apk | 20.6 KiB | 2025-Jul-25 08:05 |
planarity-4.0.0.0-r0.apk | 22.5 KiB | 2025-Mar-16 13:06 |
planarity-dev-4.0.0.0-r0.apk | 23.0 KiB | 2025-Mar-16 13:06 |
planarity-doc-4.0.0.0-r0.apk | 12.6 KiB | 2025-Mar-16 13:06 |
planarity-libs-4.0.0.0-r0.apk | 61.3 KiB | 2025-Mar-16 13:06 |
planner-0.14.92-r1.apk | 332.5 KiB | 2024-Dec-09 00:40 |
planner-doc-0.14.92-r1.apk | 2.2 KiB | 2024-Dec-09 00:40 |
planner-lang-0.14.92-r1.apk | 824.6 KiB | 2024-Dec-09 00:40 |
please-0.5.5-r0.apk | 1007.4 KiB | 2024-Oct-25 23:07 |
please-doc-0.5.5-r0.apk | 15.8 KiB | 2024-Oct-25 23:07 |
plfit-1.0.1-r0.apk | 37.5 KiB | 2025-Jan-04 06:47 |
plfit-dev-1.0.1-r0.apk | 6.5 KiB | 2025-Jan-04 06:47 |
plfit-libs-1.0.1-r0.apk | 27.3 KiB | 2025-Jan-04 06:47 |
plfit-static-1.0.1-r0.apk | 31.1 KiB | 2025-Jan-04 06:47 |
plib-1.8.5-r3.apk | 870.4 KiB | 2024-Oct-25 23:07 |
plots-0.7.0-r1.apk | 515.7 KiB | 2024-Nov-06 12:42 |
plplot-5.15.0-r2.apk | 31.4 KiB | 2024-Oct-25 23:07 |
plplot-dev-5.15.0-r2.apk | 59.0 KiB | 2024-Oct-25 23:07 |
plplot-doc-5.15.0-r2.apk | 310.6 KiB | 2024-Oct-25 23:07 |
plplot-libs-5.15.0-r2.apk | 189.6 KiB | 2024-Oct-25 23:07 |
pmccabe-2.8-r1.apk | 25.3 KiB | 2024-Oct-25 23:07 |
pmccabe-doc-2.8-r1.apk | 7.1 KiB | 2024-Oct-25 23:07 |
pnmixer-0.7.2-r3.apk | 139.2 KiB | 2024-Oct-25 23:07 |
pnmixer-doc-0.7.2-r3.apk | 2.3 KiB | 2024-Oct-25 23:07 |
pnmixer-lang-0.7.2-r3.apk | 24.8 KiB | 2024-Oct-25 23:07 |
pokoy-0.2.5-r0.apk | 8.7 KiB | 2024-Oct-25 23:07 |
pokoy-doc-0.2.5-r0.apk | 3.0 KiB | 2024-Oct-25 23:07 |
policycoreutils-3.6-r1.apk | 52.8 KiB | 2024-Oct-25 23:07 |
policycoreutils-bash-completion-3.6-r1.apk | 2.4 KiB | 2024-Oct-25 23:07 |
policycoreutils-doc-3.6-r1.apk | 22.3 KiB | 2024-Oct-25 23:07 |
policycoreutils-lang-3.6-r1.apk | 105.4 KiB | 2024-Oct-25 23:07 |
polyglot-2.0.4-r1.apk | 64.3 KiB | 2024-Oct-25 23:07 |
polyglot-doc-2.0.4-r1.apk | 47.6 KiB | 2024-Oct-25 23:07 |
pomo-0.8.1-r24.apk | 1.6 MiB | 2025-Jul-10 05:28 |
pomo-doc-0.8.1-r24.apk | 2.7 KiB | 2025-Jul-10 05:28 |
pongoos-loader-0_git20210704-r1.apk | 2.4 KiB | 2024-Oct-25 23:07 |
pop-cursor-theme-3.5.1-r0.apk | 12.8 MiB | 2025-Mar-25 10:55 |
pop-icon-theme-3.5.1-r0.apk | 1.3 MiB | 2025-Mar-25 10:55 |
popeye-0.22.1-r5.apk | 27.3 MiB | 2025-Jul-10 05:28 |
porla-0.41.0-r2.apk | 3.3 MiB | 2025-Feb-24 17:56 |
porla-doc-0.41.0-r2.apk | 2.2 KiB | 2025-Feb-24 17:56 |
porla-openrc-0.41.0-r2.apk | 2.7 KiB | 2025-Feb-24 17:56 |
portsmf-239-r1.apk | 50.1 KiB | 2024-Oct-25 23:07 |
portsmf-dev-239-r1.apk | 20.3 KiB | 2024-Oct-25 23:07 |
postgresql-pg_later-0.0.14-r1.apk | 635.0 KiB | 2024-Oct-25 23:07 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 21.7 KiB | 2024-Oct-25 23:07 |
postgresql-pg_variables-bitcode-1.2.5_git2023092..> | 53.6 KiB | 2024-Oct-25 23:07 |
postgresql-pgmq-1.1.1-r1.apk | 272.5 KiB | 2024-Oct-25 23:07 |
postgresql16-wal2json-2.6-r0.apk | 69.5 KiB | 2024-Oct-25 23:07 |
pounce-3.1-r3.apk | 28.6 KiB | 2024-Oct-25 23:07 |
pounce-doc-3.1-r3.apk | 8.5 KiB | 2024-Oct-25 23:07 |
pounce-openrc-3.1-r3.apk | 2.8 KiB | 2024-Oct-25 23:07 |
powder-toy-97.0.352-r1.apk | 814.5 KiB | 2024-Oct-25 23:07 |
powerstat-0.04.01-r0.apk | 20.3 KiB | 2024-Oct-25 23:07 |
powerstat-bash-completion-0.04.01-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
powerstat-doc-0.04.01-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
pptpclient-1.10.0-r6.apk | 33.3 KiB | 2025-Jun-30 10:14 |
pptpclient-doc-1.10.0-r6.apk | 7.2 KiB | 2025-Jun-30 10:14 |
pqiv-2.12-r1.apk | 63.4 KiB | 2024-Oct-25 23:07 |
pqiv-doc-2.12-r1.apk | 12.0 KiB | 2024-Oct-25 23:07 |
predict-2.3.1-r0.apk | 90.7 KiB | 2024-Nov-23 21:59 |
predict-doc-2.3.1-r0.apk | 16.1 KiB | 2024-Nov-23 21:59 |
primecount-7.17-r0.apk | 28.4 KiB | 2025-May-16 17:32 |
primecount-dev-7.17-r0.apk | 2.0 MiB | 2025-May-16 17:32 |
primecount-doc-7.17-r0.apk | 3.9 KiB | 2025-May-16 17:32 |
primecount-libs-7.17-r0.apk | 133.5 KiB | 2025-May-16 17:32 |
primesieve-12.8-r0.apk | 43.1 KiB | 2025-Apr-30 00:48 |
primesieve-dev-12.8-r0.apk | 1.2 MiB | 2025-Apr-30 00:48 |
primesieve-doc-12.8-r0.apk | 4.1 KiB | 2025-Apr-30 00:48 |
primesieve-libs-12.8-r0.apk | 111.3 KiB | 2025-Apr-30 00:48 |
prjtrellis-1.4-r2.apk | 1.2 MiB | 2024-Oct-25 23:07 |
prjtrellis-db-0_git20230929-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-Oct-25 23:07 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 39.2 KiB | 2024-Oct-25 23:07 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.3 KiB | 2024-Oct-25 23:07 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-Oct-25 23:07 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.7 KiB | 2024-Oct-25 23:07 |
projectm-3.1.12-r2.apk | 423.0 KiB | 2024-Oct-25 23:07 |
projectm-dev-3.1.12-r2.apk | 662.3 KiB | 2024-Oct-25 23:07 |
projectm-presets-3.1.12-r2.apk | 4.3 MiB | 2024-Oct-25 23:07 |
projectm-pulseaudio-3.1.12-r2.apk | 394.8 KiB | 2024-Oct-25 23:07 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 2.0 KiB | 2024-Oct-25 23:07 |
projectm-sdl-3.1.12-r2.apk | 308.0 KiB | 2024-Oct-25 23:07 |
projectsandcastle-loader-0_git20200307-r1.apk | 5.1 KiB | 2024-Oct-25 23:07 |
prometheus-ipmi-exporter-1.8.0-r5.apk | 4.2 MiB | 2025-May-15 00:14 |
prometheus-ipmi-exporter-doc-1.8.0-r5.apk | 6.6 KiB | 2025-May-15 00:14 |
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk | 2.0 KiB | 2025-May-15 00:14 |
prometheus-opnsense-exporter-0.0.8-r1.apk | 4.7 MiB | 2025-Jul-10 05:28 |
prometheus-opnsense-exporter-openrc-0.0.8-r1.apk | 2.1 KiB | 2025-Jul-10 05:28 |
prometheus-podman-exporter-1.17.2-r0.apk | 15.0 MiB | 2025-Jul-15 11:22 |
prometheus-rethinkdb-exporter-1.0.1-r29.apk | 4.1 MiB | 2025-Jul-10 05:28 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r29.apk | 1.7 KiB | 2025-Jul-10 05:28 |
prometheus-smartctl-exporter-0.14.0-r1.apk | 4.6 MiB | 2025-Jul-10 05:28 |
prometheus-smartctl-exporter-openrc-0.14.0-r1.apk | 1.9 KiB | 2025-Jul-10 05:28 |
prometheus-smtp2go-exporter-0.1.1-r0.apk | 3.4 MiB | 2025-Jul-24 04:27 |
prometheus-smtp2go-exporter-openrc-0.1.1-r0.apk | 2.0 KiB | 2025-Jul-24 04:27 |
prometheus-unbound-exporter-0.4.6-r5.apk | 3.6 MiB | 2025-May-15 00:14 |
prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 2.0 KiB | 2025-May-15 00:14 |
proot-5.4.0-r1.apk | 67.1 KiB | 2024-Oct-25 23:07 |
proot-doc-5.4.0-r1.apk | 10.3 KiB | 2024-Oct-25 23:07 |
proot-static-5.4.0-r1.apk | 105.5 KiB | 2024-Oct-25 23:07 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 23:07 |
prosody-mod-block_registrations-0.11_hg20201208-..> | 1.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2024-Oct-25 23:07 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0..> | 2.0 KiB | 2024-Oct-25 23:07 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2024-Oct-25 23:07 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-http_upload_external-0.11_hg20201208..> | 2.9 KiB | 2024-Oct-25 23:07 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 23:07 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2024-Oct-25 23:07 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2024-Oct-25 23:07 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2024-Oct-25 23:07 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0...> | 6.9 KiB | 2024-Oct-25 23:07 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2024-Oct-25 23:07 |
prosody-mod-register_redirect-0.11_hg20201208-r0..> | 2.7 KiB | 2024-Oct-25 23:07 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 23:07 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2024-Oct-25 23:07 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0...> | 2.0 KiB | 2024-Oct-25 23:07 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2024-Oct-25 23:07 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2024-Oct-25 23:07 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 23:07 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2024-Oct-25 23:07 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2024-Oct-25 23:07 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2024-Oct-25 23:07 |
prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2024-Oct-25 23:07 |
protoc-gen-go-1.36.6-r1.apk | 2.2 MiB | 2025-Jul-10 05:28 |
protoconf-0.1.7-r14.apk | 7.4 MiB | 2025-Jul-10 05:28 |
psftools-1.1.2-r0.apk | 197.6 KiB | 2024-Oct-25 23:07 |
psftools-dev-1.1.2-r0.apk | 42.2 KiB | 2024-Oct-25 23:07 |
psftools-doc-1.1.2-r0.apk | 59.6 KiB | 2024-Oct-25 23:07 |
psi-notify-1.3.1-r0.apk | 11.5 KiB | 2024-Oct-25 23:07 |
psi-plus-1.5.2069-r0.apk | 8.5 MiB | 2025-Jul-24 04:27 |
psi-plus-plugins-1.5.2069-r0.apk | 1.6 MiB | 2025-Jul-24 04:27 |
pspp-2.0.1-r0.apk | 9.3 MiB | 2024-Oct-25 23:07 |
pspp-dbg-2.0.1-r0.apk | 4.3 MiB | 2024-Oct-25 23:07 |
pspp-doc-2.0.1-r0.apk | 9.0 KiB | 2024-Oct-25 23:07 |
psst-0_git20240526-r1.apk | 7.5 MiB | 2024-Oct-25 23:07 |
ptpd-2.3.1-r1.apk | 184.4 KiB | 2024-Oct-25 23:07 |
ptpd-doc-2.3.1-r1.apk | 20.3 KiB | 2024-Oct-25 23:07 |
ptpd-openrc-2.3.1-r1.apk | 2.4 KiB | 2024-Oct-25 23:07 |
ptylie-0.2-r2.apk | 10.8 KiB | 2025-May-15 00:14 |
ptylie-doc-0.2-r2.apk | 3.2 KiB | 2025-May-15 00:14 |
pug-0.6.2-r0.apk | 4.0 MiB | 2025-Jul-24 15:47 |
pully-1.0.0-r0.apk | 2.5 KiB | 2024-Oct-25 23:07 |
pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2024-Oct-25 23:07 |
pulsar-client-cpp-3.7.1-r0.apk | 1.2 MiB | 2025-Jun-12 15:08 |
pulsar-client-cpp-dev-3.7.1-r0.apk | 64.5 KiB | 2025-Jun-12 15:08 |
pulseview-0.4.2-r8.apk | 869.9 KiB | 2024-Oct-25 23:07 |
pulseview-doc-0.4.2-r8.apk | 3.7 KiB | 2024-Oct-25 23:07 |
purple-facebook-0.9.6-r0.apk | 69.3 KiB | 2024-Oct-25 23:07 |
purple-hangouts-0_git20200422-r0.apk | 194.3 KiB | 2024-Oct-25 23:07 |
pw-volume-0.5.0-r1.apk | 324.5 KiB | 2024-Oct-25 23:07 |
pwauth-2.3.11-r2.apk | 4.2 KiB | 2024-Oct-25 23:07 |
pwauth-doc-2.3.11-r2.apk | 6.8 KiB | 2024-Oct-25 23:07 |
pxalarm-3.0.0-r0.apk | 2.9 KiB | 2024-Oct-25 23:07 |
pxmenu-1.0.0-r1.apk | 2.9 KiB | 2024-Oct-25 23:07 |
py3-actdiag-3.0.0-r5.apk | 17.3 KiB | 2024-Oct-25 23:07 |
py3-actdiag-pyc-3.0.0-r5.apk | 21.5 KiB | 2024-Oct-25 23:07 |
py3-aesedb-0.1.6-r3.apk | 35.9 KiB | 2025-May-29 14:57 |
py3-aesedb-pyc-0.1.6-r3.apk | 74.7 KiB | 2025-May-29 14:57 |
py3-agithub-2.2.2-r7.apk | 18.8 KiB | 2025-Mar-19 14:44 |
py3-agithub-pyc-2.2.2-r7.apk | 21.3 KiB | 2025-Mar-19 14:44 |
py3-aiodocker-0.21.0-r1.apk | 29.3 KiB | 2024-Oct-25 23:07 |
py3-aiodocker-pyc-0.21.0-r1.apk | 60.4 KiB | 2024-Oct-25 23:07 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 445.9 KiB | 2024-Oct-25 23:07 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 51.2 KiB | 2024-Oct-25 23:07 |
py3-aiohttp-jinja2-1.6-r2.apk | 12.4 KiB | 2024-Oct-25 23:07 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.2 KiB | 2024-Oct-25 23:07 |
py3-aiohttp-remotes-1.3.0-r0.apk | 10.0 KiB | 2024-Nov-04 15:28 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 18.9 KiB | 2024-Nov-04 15:28 |
py3-aiohttp-session-2.12.1-r0.apk | 10.4 KiB | 2024-Oct-25 23:07 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 14.8 KiB | 2024-Oct-25 23:07 |
py3-aioopenssl-0.6.0-r4.apk | 20.8 KiB | 2024-Oct-25 23:07 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19.1 KiB | 2024-Oct-25 23:07 |
py3-aiosasl-0.5.0-r4.apk | 29.6 KiB | 2024-Oct-25 23:07 |
py3-aiosasl-doc-0.5.0-r4.apk | 16.5 KiB | 2024-Oct-25 23:07 |
py3-aiosasl-pyc-0.5.0-r4.apk | 23.9 KiB | 2024-Oct-25 23:07 |
py3-aiosmb-0.4.11-r1.apk | 597.0 KiB | 2025-May-29 14:57 |
py3-aiosmb-pyc-0.4.11-r1.apk | 1.1 MiB | 2025-May-29 14:57 |
py3-aiowinreg-0.0.12-r1.apk | 22.1 KiB | 2025-May-29 14:57 |
py3-aiowinreg-pyc-0.0.12-r1.apk | 44.6 KiB | 2025-May-29 14:57 |
py3-aioxmpp-0.13.3-r3.apk | 387.7 KiB | 2024-Oct-25 23:07 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18.3 KiB | 2024-Oct-25 23:07 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 673.1 KiB | 2024-Oct-25 23:07 |
py3-allfiles-1.0-r8.apk | 3.6 KiB | 2024-Oct-25 23:07 |
py3-allfiles-pyc-1.0-r8.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-altgraph-0.17.4-r1.apk | 20.7 KiB | 2024-Oct-25 23:07 |
py3-altgraph-pyc-0.17.4-r1.apk | 29.1 KiB | 2024-Oct-25 23:07 |
py3-ansi2html-1.9.2-r0.apk | 17.6 KiB | 2024-Oct-25 23:07 |
py3-ansi2html-pyc-1.9.2-r0.apk | 21.9 KiB | 2024-Oct-25 23:07 |
py3-ansible-pylibssh-1.2.2-r0.apk | 242.7 KiB | 2025-Jul-24 04:27 |
py3-anyascii-0.3.2-r1.apk | 274.7 KiB | 2024-Oct-25 23:07 |
py3-anyascii-pyc-0.3.2-r1.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-Oct-25 23:07 |
py3-apicula-pyc-0.11.1-r1.apk | 179.5 KiB | 2024-Oct-25 23:07 |
py3-apio-0.9.5-r0.apk | 72.4 KiB | 2024-Oct-25 23:07 |
py3-apio-pyc-0.9.5-r0.apk | 77.2 KiB | 2024-Oct-25 23:07 |
py3-apsw-3.49.1.0-r0.apk | 845.9 KiB | 2025-Feb-25 13:17 |
py3-apsw-pyc-3.49.1.0-r0.apk | 526.3 KiB | 2025-Feb-25 13:17 |
py3-apt-2.9.9-r0.apk | 168.6 KiB | 2025-Jul-15 11:22 |
py3-apt-lang-2.9.9-r0.apk | 79.5 KiB | 2025-Jul-15 11:22 |
py3-apt-pyc-2.9.9-r0.apk | 119.0 KiB | 2025-Jul-15 11:22 |
py3-arcus-5.3.0-r5.apk | 73.9 KiB | 2025-Jun-12 15:08 |
py3-asif-0.3.2-r3.apk | 13.3 KiB | 2024-Oct-25 23:07 |
py3-asif-pyc-0.3.2-r3.apk | 25.9 KiB | 2024-Oct-25 23:07 |
py3-ask-0.0.8-r8.apk | 5.0 KiB | 2024-Oct-25 23:07 |
py3-ask-pyc-0.0.8-r8.apk | 4.5 KiB | 2024-Oct-25 23:07 |
py3-astral-3.2-r3.apk | 37.0 KiB | 2024-Oct-25 23:07 |
py3-astral-pyc-3.2-r3.apk | 58.9 KiB | 2024-Oct-25 23:07 |
py3-asyauth-0.0.21-r1.apk | 77.0 KiB | 2025-May-29 14:57 |
py3-asyauth-pyc-0.0.21-r1.apk | 169.3 KiB | 2025-May-29 14:57 |
py3-async-lru-2.0.5-r0.apk | 7.0 KiB | 2025-Mar-19 14:44 |
py3-async-lru-pyc-2.0.5-r0.apk | 8.5 KiB | 2025-Mar-19 14:44 |
py3-asysocks-0.2.13-r1.apk | 83.8 KiB | 2025-May-29 14:57 |
py3-asysocks-pyc-0.2.13-r1.apk | 229.3 KiB | 2025-May-29 14:57 |
py3-avro-1.11.3-r1.apk | 97.7 KiB | 2024-Oct-25 23:07 |
py3-avro-pyc-1.11.3-r1.apk | 191.4 KiB | 2024-Oct-25 23:07 |
py3-b2sdk-2.8.1-r0.apk | 214.9 KiB | 2025-May-03 11:44 |
py3-b2sdk-pyc-2.8.1-r0.apk | 402.6 KiB | 2025-May-03 11:44 |
py3-banal-1.0.6-r4.apk | 6.9 KiB | 2024-Oct-25 23:07 |
py3-banal-pyc-1.0.6-r4.apk | 7.2 KiB | 2024-Oct-25 23:07 |
py3-bandwidth-sdk-3.1.0-r8.apk | 46.0 KiB | 2024-Oct-25 23:07 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 69.2 KiB | 2024-Oct-25 23:07 |
py3-barcodenumber-0.2.1-r10.apk | 16.3 KiB | 2024-Oct-25 23:07 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4.3 KiB | 2024-Oct-25 23:07 |
py3-base58-2.1.1-r2.apk | 11.1 KiB | 2024-Oct-25 23:07 |
py3-beartype-0.21.0-r0.apk | 926.4 KiB | 2025-May-25 16:46 |
py3-beartype-pyc-0.21.0-r0.apk | 672.7 KiB | 2025-May-25 16:46 |
py3-bencode-4.0.0-r1.apk | 17.1 KiB | 2024-Oct-25 23:07 |
py3-bencode-pyc-4.0.0-r1.apk | 10.5 KiB | 2024-Oct-25 23:07 |
py3-bibtexparser-1.4.3-r0.apk | 40.2 KiB | 2025-Jan-25 10:04 |
py3-bibtexparser-pyc-1.4.3-r0.apk | 48.8 KiB | 2025-Jan-25 10:04 |
py3-bidict-0.23.1-r1.apk | 27.7 KiB | 2024-Oct-25 23:07 |
py3-bidict-pyc-0.23.1-r1.apk | 28.8 KiB | 2024-Oct-25 23:07 |
py3-bite-parser-0.2.5-r0.apk | 13.6 KiB | 2024-Oct-29 00:51 |
py3-bite-parser-pyc-0.2.5-r0.apk | 23.5 KiB | 2024-Oct-29 00:51 |
py3-bitstruct-8.19.0-r1.apk | 33.8 KiB | 2024-Oct-25 23:07 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12.8 KiB | 2024-Oct-25 23:07 |
py3-bleak-0.22.3-r0.apk | 369.8 KiB | 2024-Oct-25 23:07 |
py3-blockchain-1.4.4-r7.apk | 11.0 KiB | 2024-Oct-25 23:07 |
py3-blockchain-pyc-1.4.4-r7.apk | 17.9 KiB | 2024-Oct-25 23:07 |
py3-blockdiag-3.0.0-r6.apk | 68.0 KiB | 2025-Jan-10 15:11 |
py3-blockdiag-pyc-3.0.0-r6.apk | 148.8 KiB | 2025-Jan-10 15:11 |
py3-blockdiag-tests-3.0.0-r6.apk | 2.5 MiB | 2025-Jan-10 15:11 |
py3-bookkeeper-4.17.2-r0.apk | 43.0 KiB | 2025-Jul-15 11:22 |
py3-bookkeeper-pyc-4.17.2-r0.apk | 66.7 KiB | 2025-Jul-15 11:22 |
py3-bottle-api-0.0.4-r7.apk | 4.9 KiB | 2024-Oct-25 23:07 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5.2 KiB | 2024-Oct-25 23:07 |
py3-bottle-pgsql-0.2-r5.apk | 4.3 KiB | 2024-Oct-25 23:07 |
py3-bottle-redis-0.2.3-r6.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 3.1 KiB | 2024-Oct-25 23:07 |
py3-bottle-renderer-0.1.1-r9.apk | 4.0 KiB | 2024-Oct-25 23:07 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.7 KiB | 2024-Oct-25 23:07 |
py3-bottle-request-0.2.0-r9.apk | 3.2 KiB | 2024-Oct-25 23:07 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 23:07 |
py3-bottle-rest-0.6.0-r1.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5.2 KiB | 2024-Oct-25 23:07 |
py3-bottle-session-1.0-r6.apk | 10.2 KiB | 2024-Oct-25 23:07 |
py3-bottle-session-pyc-1.0-r6.apk | 7.8 KiB | 2024-Oct-25 23:07 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.8 KiB | 2024-Oct-25 23:07 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.6 KiB | 2024-Oct-25 23:07 |
py3-bottle-sqlite-0.2.0-r7.apk | 4.7 KiB | 2024-Oct-25 23:07 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.2 KiB | 2024-Oct-25 23:07 |
py3-bottle-websocket-0.2.9-r8.apk | 4.6 KiB | 2024-Oct-25 23:07 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 3.1 KiB | 2024-Oct-25 23:07 |
py3-bottle-werkzeug-0.1.1-r9.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.3 KiB | 2024-Oct-25 23:07 |
py3-bson-0.5.10-r6.apk | 11.7 KiB | 2024-Oct-25 23:07 |
py3-bson-pyc-0.5.10-r6.apk | 18.5 KiB | 2024-Oct-25 23:07 |
py3-businesstime-0.3.0-r9.apk | 10.6 KiB | 2024-Oct-25 23:07 |
py3-businesstime-pyc-0.3.0-r9.apk | 16.3 KiB | 2024-Oct-25 23:07 |
py3-c3d-0.5.2-r1.apk | 32.1 KiB | 2024-Oct-25 23:07 |
py3-c3d-pyc-0.5.2-r1.apk | 53.7 KiB | 2024-Oct-25 23:07 |
py3-caldav-2.0.1-r0.apk | 87.6 KiB | 2025-Jun-26 16:53 |
py3-caldav-pyc-2.0.1-r0.apk | 117.6 KiB | 2025-Jun-26 16:53 |
py3-cassandra-driver-3.29.2-r0.apk | 285.8 KiB | 2024-Oct-25 23:07 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 560.0 KiB | 2024-Oct-25 23:07 |
py3-catkin-pkg-0.5.2-r4.apk | 57.2 KiB | 2024-Oct-25 23:07 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 102.6 KiB | 2024-Oct-25 23:07 |
py3-cchardet-2.1.7-r5.apk | 121.3 KiB | 2024-Oct-25 23:07 |
py3-cchardet-pyc-2.1.7-r5.apk | 3.1 KiB | 2024-Oct-25 23:07 |
py3-cdio-2.1.1-r6.apk | 98.5 KiB | 2025-Jan-25 10:04 |
py3-cdio-pyc-2.1.1-r6.apk | 43.1 KiB | 2025-Jan-25 10:04 |
py3-certauth-1.3.0-r1.apk | 8.7 KiB | 2024-Oct-25 23:07 |
py3-certauth-pyc-1.3.0-r1.apk | 9.1 KiB | 2024-Oct-25 23:07 |
py3-chameleon-4.6.0-r0.apk | 97.1 KiB | 2025-Jul-06 12:16 |
py3-chameleon-pyc-4.6.0-r0.apk | 130.6 KiB | 2025-Jul-06 12:16 |
py3-ciso8601-2.3.1-r1.apk | 16.1 KiB | 2024-Oct-25 23:07 |
py3-cjkwrap-2.2-r6.apk | 4.5 KiB | 2025-May-15 00:14 |
py3-cjkwrap-pyc-2.2-r6.apk | 5.3 KiB | 2025-May-15 00:14 |
py3-class-doc-1.25-r1.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-class-doc-pyc-1.25-r1.apk | 8.7 KiB | 2024-Oct-25 23:07 |
py3-click-completion-0.5.2-r1.apk | 10.8 KiB | 2024-Oct-25 23:07 |
py3-click-completion-pyc-0.5.2-r1.apk | 14.2 KiB | 2024-Oct-25 23:07 |
py3-click-default-group-1.2.4-r1.apk | 5.1 KiB | 2024-Oct-25 23:07 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4.5 KiB | 2024-Oct-25 23:07 |
py3-click-threading-0.5.0-r5.apk | 6.3 KiB | 2024-Oct-25 23:07 |
py3-click-threading-pyc-0.5.0-r5.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-clickclick-20.10.2-r4.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-clickclick-pyc-20.10.2-r4.apk | 9.8 KiB | 2024-Oct-25 23:07 |
py3-cmd2-2.4.3-r2.apk | 139.4 KiB | 2024-Oct-25 23:07 |
py3-cmd2-pyc-2.4.3-r2.apk | 222.6 KiB | 2024-Oct-25 23:07 |
py3-cobs-1.2.0-r4.apk | 16.3 KiB | 2024-Oct-25 23:07 |
py3-cobs-pyc-1.2.0-r4.apk | 12.5 KiB | 2024-Oct-25 23:07 |
py3-colander-2.0-r2.apk | 62.5 KiB | 2024-Oct-25 23:07 |
py3-colander-pyc-2.0-r2.apk | 42.5 KiB | 2024-Oct-25 23:07 |
py3-colorthief-0.2.1-r1.apk | 7.3 KiB | 2024-Oct-25 23:07 |
py3-colorthief-pyc-0.2.1-r1.apk | 10.0 KiB | 2024-Oct-25 23:07 |
py3-columnize-0.3.11-r4.apk | 8.5 KiB | 2024-Oct-25 23:07 |
py3-columnize-pyc-0.3.11-r4.apk | 7.5 KiB | 2024-Oct-25 23:07 |
py3-compdb-0.2.0-r8.apk | 23.3 KiB | 2024-Oct-25 23:07 |
py3-compdb-doc-0.2.0-r8.apk | 3.0 KiB | 2024-Oct-25 23:07 |
py3-compdb-pyc-0.2.0-r8.apk | 39.6 KiB | 2024-Oct-25 23:07 |
py3-cookiecutter-2.6.0-r1.apk | 35.4 KiB | 2024-Oct-25 23:07 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3.7 KiB | 2024-Oct-25 23:07 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 47.5 KiB | 2024-Oct-25 23:07 |
py3-coreapi-2.3.3-r9.apk | 22.2 KiB | 2024-Oct-25 23:07 |
py3-coreapi-pyc-2.3.3-r9.apk | 43.3 KiB | 2024-Oct-25 23:07 |
py3-crc16-0.1.1-r10.apk | 11.6 KiB | 2024-Oct-25 23:07 |
py3-crc16-pyc-0.1.1-r10.apk | 4.7 KiB | 2024-Oct-25 23:07 |
py3-createrepo_c-1.1.4-r0.apk | 40.4 KiB | 2024-Oct-25 23:07 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14.5 KiB | 2024-Oct-25 23:07 |
py3-cryptg-0.5.0-r0.apk | 194.0 KiB | 2025-May-15 00:14 |
py3-cryptg-pyc-0.5.0-r0.apk | 1.9 KiB | 2025-May-15 00:14 |
py3-cssutils-2.11.1-r1.apk | 155.2 KiB | 2024-Oct-25 23:07 |
py3-cssutils-pyc-2.11.1-r1.apk | 278.6 KiB | 2024-Oct-25 23:07 |
py3-cstruct-5.3-r1.apk | 22.0 KiB | 2024-Oct-25 23:07 |
py3-cstruct-pyc-5.3-r1.apk | 36.1 KiB | 2024-Oct-25 23:07 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8.7 KiB | 2024-Dec-01 23:13 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10.4 KiB | 2024-Dec-01 23:13 |
py3-cvxpy-1.2.1-r5.apk | 644.8 KiB | 2024-Oct-25 23:07 |
py3-cvxpy-pyc-1.2.1-r5.apk | 936.1 KiB | 2024-Oct-25 23:07 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 16.8 KiB | 2024-Oct-25 23:07 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
py3-dash-bootstrap-components-1.6.0-r0.apk | 16.5 KiB | 2025-Apr-13 13:18 |
py3-dataclasses-json-0.6.7-r0.apk | 27.4 KiB | 2024-Oct-25 23:07 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 35.7 KiB | 2024-Oct-25 23:07 |
py3-dataclasses-serialization-1.3.1-r3.apk | 11.0 KiB | 2024-Oct-25 23:07 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.3 KiB | 2024-Oct-25 23:07 |
py3-dateparser-1.2.2-r0.apk | 216.2 KiB | 2025-Jul-06 22:46 |
py3-dateparser-pyc-1.2.2-r0.apk | 335.2 KiB | 2025-Jul-06 22:46 |
py3-daterangestr-0.0.3-r8.apk | 4.3 KiB | 2024-Oct-25 23:07 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4.2 KiB | 2024-Oct-25 23:07 |
py3-dbus-fast-2.44.2-r0.apk | 828.4 KiB | 2025-Jul-26 20:34 |
py3-dbus-fast-doc-2.44.2-r0.apk | 6.2 KiB | 2025-Jul-26 20:34 |
py3-dbus-fast-pyc-2.44.2-r0.apk | 128.8 KiB | 2025-Jul-26 20:34 |
py3-deluge-client-1.10.2-r0.apk | 12.9 KiB | 2024-Oct-25 23:07 |
py3-deluge-client-doc-1.10.2-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
py3-deluge-client-pyc-1.10.2-r0.apk | 19.7 KiB | 2024-Oct-25 23:07 |
py3-dexml-0.5.1-r9.apk | 22.2 KiB | 2024-Oct-25 23:07 |
py3-dexml-pyc-0.5.1-r9.apk | 37.5 KiB | 2024-Oct-25 23:07 |
py3-discid-1.2.0-r6.apk | 23.8 KiB | 2024-Oct-25 23:07 |
py3-discid-pyc-1.2.0-r6.apk | 13.1 KiB | 2024-Oct-25 23:07 |
py3-distorm3-3.5.2-r6.apk | 47.4 KiB | 2024-Oct-25 23:07 |
py3-distorm3-pyc-3.5.2-r6.apk | 48.7 KiB | 2024-Oct-25 23:07 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14.7 KiB | 2024-Oct-25 23:07 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0-..> | 14.9 KiB | 2024-Oct-25 23:07 |
py3-django-suit-0.2.28-r8.apk | 365.8 KiB | 2024-Oct-25 23:07 |
py3-django-suit-pyc-0.2.28-r8.apk | 32.5 KiB | 2024-Oct-25 23:07 |
py3-django-taggit-serializer-0.1.7-r8.apk | 4.0 KiB | 2024-Oct-25 23:07 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5.0 KiB | 2024-Oct-25 23:07 |
py3-dnslib-0.9.25-r0.apk | 51.8 KiB | 2024-Oct-25 23:07 |
py3-dnslib-pyc-0.9.25-r0.apk | 108.9 KiB | 2024-Oct-25 23:07 |
py3-dogpile.cache-1.3.3-r1.apk | 52.6 KiB | 2025-May-15 00:14 |
py3-dogpile.cache-pyc-1.3.3-r1.apk | 90.5 KiB | 2025-May-15 00:14 |
py3-doi-0.2-r0.apk | 6.2 KiB | 2025-Apr-12 15:09 |
py3-doi-pyc-0.2-r0.apk | 4.7 KiB | 2025-Apr-12 15:09 |
py3-doit-0.36.0-r5.apk | 76.5 KiB | 2024-Oct-25 23:07 |
py3-doit-pyc-0.36.0-r5.apk | 133.2 KiB | 2024-Oct-25 23:07 |
py3-dominate-2.9.1-r1.apk | 24.7 KiB | 2024-Oct-25 23:07 |
py3-dominate-pyc-2.9.1-r1.apk | 33.7 KiB | 2024-Oct-25 23:07 |
py3-dotty-dict-1.3.1-r4.apk | 8.4 KiB | 2024-Oct-25 23:07 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8.7 KiB | 2024-Oct-25 23:07 |
py3-downloader-cli-0.3.4-r2.apk | 11.2 KiB | 2025-May-15 00:14 |
py3-downloader-cli-pyc-0.3.4-r2.apk | 14.1 KiB | 2025-May-15 00:14 |
py3-dpath-2.2.0-r0.apk | 17.0 KiB | 2024-Oct-25 23:07 |
py3-dpath-pyc-2.2.0-r0.apk | 17.8 KiB | 2024-Oct-25 23:07 |
py3-drf-yasg-1.21.10-r0.apk | 4.1 MiB | 2025-Jul-15 11:46 |
py3-drf-yasg-pyc-1.21.10-r0.apk | 98.2 KiB | 2025-Jul-15 11:46 |
py3-dunamai-1.25.0-r0.apk | 26.9 KiB | 2025-Jul-26 01:23 |
py3-dunamai-pyc-1.25.0-r0.apk | 43.8 KiB | 2025-Jul-26 01:23 |
py3-duniterpy-1.1.1-r3.apk | 221.3 KiB | 2024-Oct-25 23:07 |
py3-dweepy-0.3.0-r7.apk | 9.1 KiB | 2024-Oct-25 23:07 |
py3-dweepy-pyc-0.3.0-r7.apk | 6.3 KiB | 2024-Oct-25 23:07 |
py3-ecbdata-0.1.1-r0.apk | 13.5 KiB | 2025-Apr-14 02:28 |
py3-ecos-2.0.11-r4.apk | 27.3 KiB | 2024-Oct-25 23:07 |
py3-ecos-pyc-2.0.11-r4.apk | 3.6 KiB | 2024-Oct-25 23:07 |
py3-edalize-0.5.4-r0.apk | 122.6 KiB | 2024-Oct-25 23:07 |
py3-edalize-pyc-0.5.4-r0.apk | 190.2 KiB | 2024-Oct-25 23:07 |
py3-editdistance-s-1.0.0-r6.apk | 15.0 KiB | 2024-Oct-25 23:07 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 2.0 KiB | 2024-Oct-25 23:07 |
py3-empy-3.3.4-r7.apk | 39.3 KiB | 2024-Oct-25 23:07 |
py3-empy-pyc-3.3.4-r7.apk | 58.5 KiB | 2024-Oct-25 23:07 |
py3-enzyme-0.5.2-r0.apk | 22.7 KiB | 2025-Jul-15 11:22 |
py3-enzyme-pyc-0.5.2-r0.apk | 18.8 KiB | 2025-Jul-15 11:22 |
py3-eradicate-2.3.0-r2.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-eradicate-doc-2.3.0-r2.apk | 2.5 KiB | 2024-Oct-25 23:07 |
py3-eradicate-pyc-2.3.0-r2.apk | 8.3 KiB | 2024-Oct-25 23:07 |
py3-euclid3-0.01-r8.apk | 14.2 KiB | 2024-Oct-25 23:07 |
py3-euclid3-pyc-0.01-r8.apk | 32.6 KiB | 2024-Oct-25 23:07 |
py3-eventlet-0.38.1-r0.apk | 332.2 KiB | 2024-Dec-12 00:35 |
py3-eventlet-pyc-0.38.1-r0.apk | 335.6 KiB | 2024-Dec-12 00:35 |
py3-evohome-client-0.3.9-r0.apk | 18.8 KiB | 2025-Jul-15 11:22 |
py3-evohome-client-pyc-0.3.9-r0.apk | 27.3 KiB | 2025-Jul-15 11:22 |
py3-fastdiff-0.3.0-r5.apk | 38.4 KiB | 2024-Oct-25 23:07 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4.2 KiB | 2024-Oct-25 23:07 |
py3-feedgen-1.0.0-r1.apk | 40.2 KiB | 2024-Oct-25 23:07 |
py3-feedgen-pyc-1.0.0-r1.apk | 61.7 KiB | 2024-Oct-25 23:07 |
py3-feedgenerator-2.1.0-r2.apk | 18.0 KiB | 2024-Oct-25 23:07 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 26.8 KiB | 2024-Oct-25 23:07 |
py3-ffmpeg-0.2.0-r5.apk | 23.6 KiB | 2025-May-15 00:14 |
py3-ffmpeg-pyc-0.2.0-r5.apk | 32.6 KiB | 2025-May-15 00:14 |
py3-firmata-1.0.3-r10.apk | 14.2 KiB | 2024-Oct-25 23:07 |
py3-firmata-pyc-1.0.3-r10.apk | 20.9 KiB | 2024-Oct-25 23:07 |
py3-flake8-blind-except-0.2.1-r4.apk | 5.2 KiB | 2024-Oct-25 23:07 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.6 KiB | 2024-Oct-25 23:07 |
py3-flake8-builtins-2.5.0-r0.apk | 12.7 KiB | 2024-Dec-08 00:51 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 7.9 KiB | 2024-Dec-08 00:51 |
py3-flake8-copyright-0.2.4-r3.apk | 18.2 KiB | 2024-Oct-25 23:07 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-flake8-debugger-4.1.2-r4.apk | 6.2 KiB | 2024-Oct-25 23:07 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 6.0 KiB | 2024-Oct-25 23:07 |
py3-flake8-import-order-0.18.2-r4.apk | 15.4 KiB | 2024-Oct-25 23:07 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.8 KiB | 2024-Oct-25 23:07 |
py3-flake8-isort-6.1.1-r1.apk | 18.2 KiB | 2024-Oct-25 23:07 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5.4 KiB | 2024-Oct-25 23:07 |
py3-flake8-polyfill-1.0.2-r5.apk | 7.1 KiB | 2024-Oct-25 23:07 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5.7 KiB | 2024-Oct-25 23:07 |
py3-flake8-print-5.0.0-r5.apk | 6.7 KiB | 2024-Oct-25 23:07 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4.4 KiB | 2024-Oct-25 23:07 |
py3-flake8-snippets-0.2-r8.apk | 5.3 KiB | 2024-Oct-25 23:07 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3.7 KiB | 2024-Oct-25 23:07 |
py3-flake8-todo-0.7-r7.apk | 3.6 KiB | 2024-Oct-25 23:07 |
py3-flake8-todo-pyc-0.7-r7.apk | 2.2 KiB | 2024-Oct-25 23:07 |
py3-flask-accept-0.0.7-r0.apk | 5.6 KiB | 2025-Jul-15 11:22 |
py3-flask-accept-pyc-0.0.7-r0.apk | 3.7 KiB | 2025-Jul-15 11:22 |
py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-Oct-25 23:07 |
py3-flask-admin-pyc-1.6.1-r3.apk | 358.3 KiB | 2024-Oct-25 23:07 |
py3-flask-autorouter-0.2.2-r3.apk | 5.1 KiB | 2024-Oct-25 23:07 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.9 KiB | 2024-Oct-25 23:07 |
py3-flask-basicauth-0.2.0-r9.apk | 5.3 KiB | 2024-Oct-25 23:07 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-flask-bcrypt-1.0.1-r5.apk | 7.1 KiB | 2024-Oct-25 23:07 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.8 KiB | 2024-Oct-25 23:07 |
py3-flask-bootstrap-3.3.7.1-r9.apk | 448.6 KiB | 2025-May-15 00:14 |
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10.9 KiB | 2025-May-15 00:14 |
py3-flask-cache-0.13.1-r9.apk | 12.8 KiB | 2024-Oct-25 23:07 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18.3 KiB | 2024-Oct-25 23:07 |
py3-flask-cdn-1.5.3-r8.apk | 4.8 KiB | 2024-Oct-25 23:07 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-flask-components-0.1.1-r9.apk | 3.9 KiB | 2024-Oct-25 23:07 |
py3-flask-components-pyc-0.1.1-r9.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-flask-dbconfig-0.3.12-r8.apk | 85.7 KiB | 2024-Oct-25 23:07 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.3 KiB | 2024-Oct-25 23:07 |
py3-flask-flatpages-0.8.3-r0.apk | 10.7 KiB | 2024-Dec-07 01:57 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13.7 KiB | 2024-Dec-07 01:57 |
py3-flask-gzip-0.2-r8.apk | 3.2 KiB | 2024-Oct-25 23:07 |
py3-flask-gzip-pyc-0.2-r8.apk | 2.8 KiB | 2024-Oct-25 23:07 |
py3-flask-headers-1.0-r9.apk | 3.2 KiB | 2024-Oct-25 23:07 |
py3-flask-headers-pyc-1.0-r9.apk | 2.5 KiB | 2024-Oct-25 23:07 |
py3-flask-httpauth-4.8.0-r2.apk | 8.0 KiB | 2024-Oct-25 23:07 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10.6 KiB | 2024-Oct-25 23:07 |
py3-flask-json-schema-0.0.5-r4.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.4 KiB | 2024-Oct-25 23:07 |
py3-flask-limiter-3.10.1-r0.apk | 26.6 KiB | 2025-Jan-25 10:04 |
py3-flask-limiter-pyc-3.10.1-r0.apk | 47.0 KiB | 2025-Jan-25 10:04 |
py3-flask-loopback-1.4.7-r7.apk | 5.6 KiB | 2024-Oct-25 23:07 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-flask-mailman-1.1.1-r0.apk | 16.5 KiB | 2024-Oct-25 23:07 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 25.7 KiB | 2024-Oct-25 23:07 |
py3-flask-markdown-0.3-r8.apk | 5.6 KiB | 2024-Oct-25 23:07 |
py3-flask-markdown-pyc-0.3-r8.apk | 3.9 KiB | 2024-Oct-25 23:07 |
py3-flask-migrate-4.1.0-r0.apk | 13.3 KiB | 2025-Jul-15 11:22 |
py3-flask-migrate-pyc-4.1.0-r0.apk | 18.4 KiB | 2025-Jul-15 11:22 |
py3-flask-paginate-0.8.1-r6.apk | 8.2 KiB | 2024-Oct-25 23:07 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11.2 KiB | 2024-Oct-25 23:07 |
py3-flask-peewee-3.0.6-r0.apk | 172.0 KiB | 2024-Oct-25 23:07 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 95.5 KiB | 2024-Oct-25 23:07 |
py3-flask-qrcode-3.2.0-r0.apk | 18.0 KiB | 2024-Dec-12 09:32 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6.2 KiB | 2024-Dec-12 09:32 |
py3-flask-restaction-0.25.3-r8.apk | 114.8 KiB | 2024-Oct-25 23:07 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 19.8 KiB | 2024-Oct-25 23:07 |
py3-flask-restless-0.17.0-r9.apk | 40.5 KiB | 2024-Oct-25 23:07 |
py3-flask-restless-pyc-0.17.0-r9.apk | 59.1 KiB | 2024-Oct-25 23:07 |
py3-flask-security-5.6.1-r0.apk | 294.5 KiB | 2025-Apr-23 00:28 |
py3-flask-security-pyc-5.6.1-r0.apk | 226.9 KiB | 2025-Apr-23 00:28 |
py3-flask-themer-2.0.0-r2.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-flask-themer-pyc-2.0.0-r2.apk | 7.0 KiB | 2024-Oct-25 23:07 |
py3-forbiddenfruit-0.1.4-r2.apk | 9.0 KiB | 2024-Oct-25 23:07 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.7 KiB | 2024-Oct-25 23:07 |
py3-fpdf-1.7.2-r5.apk | 39.7 KiB | 2024-Oct-25 23:07 |
py3-fpdf-pyc-1.7.2-r5.apk | 89.2 KiB | 2024-Oct-25 23:07 |
py3-freetype-py-2.5.1-r0.apk | 160.9 KiB | 2024-Oct-25 23:07 |
py3-furl-2.1.4-r0.apk | 27.5 KiB | 2025-Jul-15 11:22 |
py3-furl-pyc-2.1.4-r0.apk | 32.5 KiB | 2025-Jul-15 11:22 |
py3-geoip-1.3.2-r4.apk | 21.9 KiB | 2024-Oct-25 23:07 |
py3-gevent-websocket-0.10.1-r8.apk | 19.7 KiB | 2024-Oct-25 23:07 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 30.4 KiB | 2024-Oct-25 23:07 |
py3-git-versioner-7.1-r1.apk | 12.0 KiB | 2024-Oct-25 23:07 |
py3-git-versioner-pyc-7.1-r1.apk | 13.5 KiB | 2024-Oct-25 23:07 |
py3-github3-4.0.1-r1.apk | 128.3 KiB | 2024-Oct-25 23:07 |
py3-github3-pyc-4.0.1-r1.apk | 227.0 KiB | 2024-Oct-25 23:07 |
py3-glob2-0.7-r6.apk | 10.3 KiB | 2024-Oct-25 23:07 |
py3-glob2-pyc-0.7-r6.apk | 12.9 KiB | 2024-Oct-25 23:07 |
py3-gls-1.3.1-r1.apk | 46.8 KiB | 2024-Oct-25 23:07 |
py3-gls-pyc-1.3.1-r1.apk | 84.1 KiB | 2024-Oct-25 23:07 |
py3-google-trans-new-1.1.9-r2.apk | 9.2 KiB | 2024-Oct-25 23:07 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10.6 KiB | 2024-Oct-25 23:07 |
py3-googletrans-3.0.0-r5.apk | 15.2 KiB | 2025-May-15 00:14 |
py3-googletrans-pyc-3.0.0-r5.apk | 17.3 KiB | 2025-May-15 00:14 |
py3-grequests-0.7.0-r3.apk | 6.7 KiB | 2025-May-15 00:14 |
py3-grequests-pyc-0.7.0-r3.apk | 5.8 KiB | 2025-May-15 00:14 |
py3-gtkspellcheck-5.0.3-r0.apk | 44.7 KiB | 2024-Dec-07 23:32 |
py3-gtkspellcheck-pyc-5.0.3-r0.apk | 29.6 KiB | 2024-Dec-07 23:32 |
py3-halo-0.0.31-r5.apk | 11.5 KiB | 2024-Oct-25 23:07 |
py3-halo-pyc-0.0.31-r5.apk | 13.9 KiB | 2024-Oct-25 23:07 |
py3-hatch-openzim-0.2.0-r0.apk | 24.5 KiB | 2024-Oct-25 23:07 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24.2 KiB | 2024-Oct-25 23:07 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.7 KiB | 2024-Oct-25 23:07 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 13.0 KiB | 2024-Oct-25 23:07 |
py3-helper-2.5.0-r5.apk | 18.8 KiB | 2024-Oct-25 23:07 |
py3-helper-pyc-2.5.0-r5.apk | 28.2 KiB | 2024-Oct-25 23:07 |
py3-hfst-3.16.2-r0.apk | 355.9 KiB | 2025-Mar-28 19:15 |
py3-hg-git-1.1.1-r1.apk | 70.1 KiB | 2024-Oct-25 23:07 |
py3-hg-git-pyc-1.1.1-r1.apk | 106.8 KiB | 2024-Oct-25 23:07 |
py3-himitsu-0.0.3-r0.apk | 5.1 KiB | 2025-Jul-24 20:00 |
py3-himitsu-pyc-0.0.3-r0.apk | 5.8 KiB | 2025-Jul-24 20:00 |
py3-hishel-0.1.3-r0.apk | 33.2 KiB | 2025-Jul-09 02:10 |
py3-hishel-pyc-0.1.3-r0.apk | 73.2 KiB | 2025-Jul-09 02:10 |
py3-html5-parser-0.4.12-r1.apk | 162.5 KiB | 2024-Oct-25 23:07 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22.3 KiB | 2024-Oct-25 23:07 |
py3-hurry.filesize-0.9-r8.apk | 4.6 KiB | 2024-Oct-25 23:07 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-hypercorn-0.17.3-r0.apk | 47.4 KiB | 2025-Jul-24 04:27 |
py3-hypercorn-pyc-0.17.3-r0.apk | 120.3 KiB | 2025-Jul-24 04:27 |
py3-igraph-0.11.9-r0.apk | 402.4 KiB | 2025-Jun-11 22:59 |
py3-igraph-dev-0.11.9-r0.apk | 2.6 KiB | 2025-Jun-11 22:59 |
py3-igraph-pyc-0.11.9-r0.apk | 370.9 KiB | 2025-Jun-11 22:59 |
py3-imageio-2.37.0-r0.apk | 285.5 KiB | 2025-Jul-15 11:22 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16.5 KiB | 2024-Oct-25 23:07 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20.3 KiB | 2024-Oct-25 23:07 |
py3-imageio-pyc-2.37.0-r0.apk | 503.8 KiB | 2025-Jul-15 11:22 |
py3-imdbpy-2021.4.18-r5.apk | 229.0 KiB | 2024-Oct-25 23:07 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 242.6 KiB | 2024-Oct-25 23:07 |
py3-incoming-0.3.1-r8.apk | 12.8 KiB | 2024-Oct-25 23:07 |
py3-incoming-pyc-0.3.1-r8.apk | 19.9 KiB | 2024-Oct-25 23:07 |
py3-infinity-1.5-r6.apk | 4.4 KiB | 2024-Oct-25 23:07 |
py3-infinity-pyc-1.5-r6.apk | 3.7 KiB | 2024-Oct-25 23:07 |
py3-iniparse-0.5-r7.apk | 18.7 KiB | 2024-Oct-25 23:07 |
py3-iniparse-doc-0.5-r7.apk | 10.3 KiB | 2024-Oct-25 23:07 |
py3-iniparse-pyc-0.5-r7.apk | 24.6 KiB | 2024-Oct-25 23:07 |
py3-intervals-0.9.2-r5.apk | 9.4 KiB | 2024-Oct-25 23:07 |
py3-intervals-pyc-0.9.2-r5.apk | 15.2 KiB | 2024-Oct-25 23:07 |
py3-ioctl-opt-1.3-r0.apk | 11.6 KiB | 2025-Jan-28 00:37 |
py3-ioctl-opt-pyc-1.3-r0.apk | 4.6 KiB | 2025-Jan-28 00:37 |
py3-irc-20.4.1-r0.apk | 40.9 KiB | 2024-Oct-25 23:07 |
py3-irc-pyc-20.4.1-r0.apk | 71.0 KiB | 2024-Oct-25 23:07 |
py3-isbnlib-3.10.14-r0.apk | 42.7 KiB | 2025-Jan-25 10:04 |
py3-isbnlib-pyc-3.10.14-r0.apk | 66.7 KiB | 2025-Jan-25 10:04 |
py3-iso639-lang-2.2.3-r0.apk | 269.0 KiB | 2024-Oct-25 23:07 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9.7 KiB | 2024-Oct-25 23:07 |
py3-itemadapter-0.10.0-r0.apk | 11.2 KiB | 2024-Nov-30 23:42 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12.7 KiB | 2024-Nov-30 23:42 |
py3-itemloaders-1.3.2-r0.apk | 12.5 KiB | 2024-Oct-25 23:07 |
py3-itemloaders-pyc-1.3.2-r0.apk | 16.6 KiB | 2024-Oct-25 23:07 |
py3-iterable-io-1.0.0-r0.apk | 6.0 KiB | 2024-Oct-25 23:07 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5.3 KiB | 2024-Oct-25 23:07 |
py3-itunespy-1.6-r5.apk | 9.7 KiB | 2025-May-15 00:14 |
py3-itunespy-pyc-1.6-r5.apk | 14.6 KiB | 2025-May-15 00:14 |
py3-janus-1.2.0-r0.apk | 12.4 KiB | 2024-Dec-13 07:57 |
py3-janus-pyc-1.2.0-r0.apk | 13.3 KiB | 2024-Dec-13 07:57 |
py3-jaraco.logging-3.4.0-r0.apk | 5.3 KiB | 2025-Jun-18 01:13 |
py3-jaraco.logging-pyc-3.4.0-r0.apk | 6.1 KiB | 2025-Jun-18 01:13 |
py3-jaraco.path-3.7.2-r0.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9.5 KiB | 2024-Oct-25 23:07 |
py3-jaraco.stream-3.0.4-r0.apk | 6.7 KiB | 2024-Dec-15 01:49 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8.1 KiB | 2024-Dec-15 01:49 |
py3-jaraco.vcs-2.4.1-r0.apk | 10.2 KiB | 2025-Mar-09 12:04 |
py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16.0 KiB | 2025-Mar-09 12:04 |
py3-jaraco.versioning-1.1.0-r0.apk | 5.9 KiB | 2024-Oct-25 23:07 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-joserfc-1.2.2-r0.apk | 54.8 KiB | 2025-Jul-15 11:22 |
py3-joserfc-pyc-1.2.2-r0.apk | 107.6 KiB | 2025-Jul-15 11:22 |
py3-json5-0.9.25-r0.apk | 25.0 KiB | 2024-Nov-30 23:49 |
py3-json5-pyc-0.9.25-r0.apk | 29.4 KiB | 2024-Nov-30 23:49 |
py3-junit-xml-1.9-r3.apk | 8.3 KiB | 2024-Oct-25 23:07 |
py3-junit-xml-pyc-1.9-r3.apk | 9.3 KiB | 2024-Oct-25 23:07 |
py3-jupyterlab3-3.6.7-r0.apk | 14.0 MiB | 2025-Mar-19 14:44 |
py3-jupyterlab_server-2.27.3-r0.apk | 123.7 KiB | 2025-Mar-19 14:44 |
py3-kazoo-0_git20211202-r4.apk | 125.0 KiB | 2024-Oct-25 23:07 |
py3-kazoo-pyc-0_git20211202-r4.apk | 244.5 KiB | 2024-Oct-25 23:07 |
py3-keepalive-0.5-r5.apk | 9.0 KiB | 2024-Oct-25 23:07 |
py3-keepalive-doc-0.5-r5.apk | 2.0 KiB | 2024-Oct-25 23:07 |
py3-keepalive-pyc-0.5-r5.apk | 13.0 KiB | 2024-Oct-25 23:07 |
py3-kerberos-1.3.1-r5.apk | 16.6 KiB | 2024-Oct-25 23:07 |
py3-landlock-1.0.0_pre4-r2.apk | 8.4 KiB | 2024-Oct-25 23:07 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.5 KiB | 2024-Oct-25 23:07 |
py3-langcodes-3.3.0-r2.apk | 173.5 KiB | 2024-Oct-25 23:07 |
py3-langcodes-pyc-3.3.0-r2.apk | 109.7 KiB | 2024-Oct-25 23:07 |
py3-language-data-1.3.0-r0.apk | 5.0 MiB | 2024-Dec-01 23:08 |
py3-language-data-pyc-1.3.0-r0.apk | 3.0 MiB | 2024-Dec-01 23:08 |
py3-latex2mathml-3.77.0-r1.apk | 72.3 KiB | 2024-Oct-25 23:07 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 34.9 KiB | 2024-Oct-25 23:07 |
py3-lib_users-0.15-r4.apk | 15.6 KiB | 2024-Oct-25 23:07 |
py3-lib_users-pyc-0.15-r4.apk | 9.5 KiB | 2024-Oct-25 23:07 |
py3-libacl-0.7.0-r2.apk | 25.1 KiB | 2024-Oct-25 23:07 |
py3-libcec-rpi-6.0.2-r4.apk | 105.0 KiB | 2024-Oct-25 23:07 |
py3-libguestfs-1.56.1-r0.apk | 177.8 KiB | 2025-Jul-24 04:27 |
py3-libiio-0.25-r2.apk | 12.6 KiB | 2024-Oct-25 23:07 |
py3-liblarch-3.2.0-r6.apk | 29.5 KiB | 2024-Dec-09 00:40 |
py3-liblarch-pyc-3.2.0-r6.apk | 49.6 KiB | 2024-Dec-09 00:40 |
py3-libmdbx-0.10.2-r7.apk | 27.9 KiB | 2024-Oct-25 23:07 |
py3-libmdbx-pyc-0.10.2-r7.apk | 32.8 KiB | 2024-Oct-25 23:07 |
py3-libnacl-2.1.0-r1.apk | 20.3 KiB | 2024-Oct-25 23:07 |
py3-libnacl-pyc-2.1.0-r1.apk | 30.3 KiB | 2024-Oct-25 23:07 |
py3-libpyshell-0.4.1-r1.apk | 11.5 KiB | 2025-Jun-10 12:39 |
py3-libpyshell-pyc-0.4.1-r1.apk | 18.3 KiB | 2025-Jun-10 12:39 |
py3-librtmp-0.3.0-r6.apk | 33.3 KiB | 2024-Oct-25 23:07 |
py3-librtmp-pyc-0.3.0-r6.apk | 24.6 KiB | 2024-Oct-25 23:07 |
py3-limits-3.14.1-r0.apk | 33.5 KiB | 2024-Dec-25 21:53 |
py3-limits-pyc-3.14.1-r0.apk | 71.4 KiB | 2024-Dec-25 21:53 |
py3-linkify-it-py-2.0.3-r1.apk | 21.3 KiB | 2024-Oct-25 23:07 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.4 KiB | 2024-Oct-25 23:07 |
py3-linux-procfs-0.7.3-r0.apk | 13.6 KiB | 2025-Jan-14 00:19 |
py3-linux-procfs-pyc-0.7.3-r0.apk | 22.1 KiB | 2025-Jan-14 00:19 |
py3-litex-hub-modules-2024.04-r0.apk | 1.6 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1.1 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.04..> | 5.6 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0..> | 1.9 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0..> | 934.1 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 500.0 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10.1 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1.8 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 112.1 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04-..> | 207.9 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r..> | 19.5 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0...> | 44.8 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 221.4 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0..> | 7.6 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0..> | 230.3 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 56.8 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 675.2 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0..> | 712.6 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.0..> | 2.4 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0...> | 57.9 KiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-software-compiler_rt-20..> | 2.2 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-pythondata-software-picolibc-2024...> | 4.7 MiB | 2024-Oct-25 23:07 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 111.5 KiB | 2024-Oct-25 23:07 |
py3-livestream-2.1.0-r0.apk | 766.2 KiB | 2024-Nov-26 01:22 |
py3-livestream-pyc-2.1.0-r0.apk | 29.9 KiB | 2024-Nov-26 01:22 |
py3-log-symbols-0.0.14-r5.apk | 4.2 KiB | 2024-Oct-25 23:07 |
py3-log-symbols-pyc-0.0.14-r5.apk | 3.1 KiB | 2024-Oct-25 23:07 |
py3-logtop-0.7-r0.apk | 18.9 KiB | 2024-Oct-25 23:07 |
py3-logtop-pyc-0.7-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-lsprotocol-2023.0.1-r1.apk | 69.5 KiB | 2024-Oct-25 23:07 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 107.1 KiB | 2024-Oct-25 23:07 |
py3-luhn-0.2.0-r9.apk | 4.0 KiB | 2024-Oct-25 23:07 |
py3-luhn-pyc-0.2.0-r9.apk | 2.6 KiB | 2024-Oct-25 23:07 |
py3-lunr-0.6.2-r4.apk | 32.5 KiB | 2024-Oct-25 23:07 |
py3-lunr-pyc-0.6.2-r4.apk | 50.6 KiB | 2024-Oct-25 23:07 |
py3-lxmf-0.7.1-r0.apk | 50.8 KiB | 2025-May-28 00:40 |
py3-lxmf-pyc-0.7.1-r0.apk | 109.3 KiB | 2025-May-28 00:40 |
py3-ly-0.9.9-r0.apk | 186.6 KiB | 2025-Jul-15 11:22 |
py3-ly-doc-0.9.9-r0.apk | 8.1 KiB | 2025-Jul-15 11:22 |
py3-ly-pyc-0.9.9-r0.apk | 352.0 KiB | 2025-Jul-15 11:22 |
py3-lzo-1.16-r1.apk | 16.4 KiB | 2024-Oct-25 23:07 |
py3-lzo-pyc-1.16-r1.apk | 2.0 KiB | 2024-Oct-25 23:07 |
py3-m2crypto-0.41.0-r2.apk | 191.5 KiB | 2024-Oct-25 23:07 |
py3-m2crypto-pyc-0.41.0-r2.apk | 122.6 KiB | 2024-Oct-25 23:07 |
py3-mando-0.7.1-r3.apk | 22.1 KiB | 2024-Oct-25 23:07 |
py3-mando-doc-0.7.1-r3.apk | 4.2 KiB | 2024-Oct-25 23:07 |
py3-mando-pyc-0.7.1-r3.apk | 36.0 KiB | 2024-Oct-25 23:07 |
py3-manuel-1.13.0-r0.apk | 39.1 KiB | 2024-Nov-30 23:13 |
py3-manuel-pyc-1.13.0-r0.apk | 25.6 KiB | 2024-Nov-30 23:13 |
py3-mapbox-earcut-1.0.1-r2.apk | 57.7 KiB | 2024-Oct-25 23:07 |
py3-marisa-trie-1.2.1-r0.apk | 137.9 KiB | 2024-Nov-11 16:12 |
py3-markdown2-2.5.0-r0.apk | 47.2 KiB | 2024-Oct-25 23:07 |
py3-markdown2-pyc-2.5.0-r0.apk | 75.5 KiB | 2024-Oct-25 23:07 |
py3-markdownify-1.1.0-r0.apk | 14.7 KiB | 2025-Apr-18 01:52 |
py3-markdownify-pyc-1.1.0-r0.apk | 17.1 KiB | 2025-Apr-18 01:52 |
py3-marshmallow-3.26.1-r0.apk | 47.5 KiB | 2025-Feb-22 01:37 |
py3-marshmallow-enum-1.5.1-r7.apk | 5.3 KiB | 2024-Oct-25 23:07 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.5 KiB | 2024-Oct-25 23:07 |
py3-marshmallow-pyc-3.26.1-r0.apk | 84.9 KiB | 2025-Feb-22 01:37 |
py3-mbedtls-2.10.1-r3.apk | 964.9 KiB | 2025-May-29 14:57 |
py3-mbedtls-pyc-2.10.1-r3.apk | 27.0 KiB | 2025-May-29 14:57 |
py3-migen-0.9.2-r2.apk | 142.6 KiB | 2024-Oct-25 23:07 |
py3-migen-pyc-0.9.2-r2.apk | 295.6 KiB | 2024-Oct-25 23:07 |
py3-milc-1.9.1-r0.apk | 26.0 KiB | 2025-Jan-25 19:04 |
py3-milc-pyc-1.9.1-r0.apk | 41.5 KiB | 2025-Jan-25 19:04 |
py3-minidb-2.0.8-r0.apk | 10.1 KiB | 2024-Nov-13 21:52 |
py3-minidb-pyc-2.0.8-r0.apk | 23.4 KiB | 2024-Nov-13 21:52 |
py3-minidump-0.0.24-r1.apk | 63.5 KiB | 2025-May-29 14:57 |
py3-minidump-pyc-0.0.24-r1.apk | 129.1 KiB | 2025-May-29 14:57 |
py3-minikerberos-0.4.6-r1.apk | 128.3 KiB | 2025-May-29 14:57 |
py3-minikerberos-pyc-0.4.6-r1.apk | 268.1 KiB | 2025-May-29 14:57 |
py3-minio-7.2.13-r0.apk | 76.4 KiB | 2024-Dec-25 21:53 |
py3-minio-pyc-7.2.13-r0.apk | 160.4 KiB | 2024-Dec-25 21:53 |
py3-mistletoe-1.4.0-r0.apk | 44.6 KiB | 2025-Mar-19 14:44 |
py3-mistletoe-pyc-1.4.0-r0.apk | 93.0 KiB | 2025-Mar-19 14:44 |
py3-mnemonic-0.21-r0.apk | 95.0 KiB | 2024-Oct-25 23:07 |
py3-mnemonic-doc-0.21-r0.apk | 2.4 KiB | 2024-Oct-25 23:07 |
py3-mnemonic-pyc-0.21-r0.apk | 9.7 KiB | 2024-Oct-25 23:07 |
py3-modbus-tk-1.1.1-r4.apk | 24.8 KiB | 2024-Oct-25 23:07 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 48.5 KiB | 2024-Oct-25 23:07 |
py3-modern_colorthief-0.1.7-r0.apk | 828.5 KiB | 2025-Jun-16 21:03 |
py3-modern_colorthief-pyc-0.1.7-r0.apk | 2.6 KiB | 2025-Jun-16 21:03 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 24.5 KiB | 2024-Oct-25 23:07 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 36.6 KiB | 2024-Oct-25 23:07 |
py3-mopidy-local-3.3.0-r0.apk | 27.8 KiB | 2025-Jan-02 01:26 |
py3-mopidy-local-pyc-3.3.0-r0.apk | 34.1 KiB | 2025-Jan-02 01:26 |
py3-mopidy-mpd-3.3.0-r4.apk | 46.0 KiB | 2024-Oct-25 23:07 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.8 KiB | 2024-Oct-25 23:07 |
py3-mopidy-tidal-0.3.2-r6.apk | 24.8 KiB | 2024-Oct-25 23:07 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 35.6 KiB | 2024-Oct-25 23:07 |
py3-more-properties-1.1.1-r3.apk | 7.4 KiB | 2024-Oct-25 23:07 |
py3-more-properties-pyc-1.1.1-r3.apk | 8.2 KiB | 2024-Oct-25 23:07 |
py3-moviepy-1.0.3-r6.apk | 94.5 KiB | 2024-Oct-25 23:07 |
py3-moviepy-pyc-1.0.3-r6.apk | 155.5 KiB | 2024-Oct-25 23:07 |
py3-msldap-0.5.15-r1.apk | 143.0 KiB | 2025-May-29 14:57 |
py3-msldap-pyc-0.5.15-r1.apk | 329.8 KiB | 2025-May-29 14:57 |
py3-mss-10.0.0-r0.apk | 50.6 KiB | 2024-Nov-14 16:10 |
py3-natpmp-1.3.2-r1.apk | 9.4 KiB | 2024-Oct-25 23:07 |
py3-natpmp-pyc-1.3.2-r1.apk | 9.9 KiB | 2024-Oct-25 23:07 |
py3-ncclient-0.6.13-r5.apk | 68.2 KiB | 2024-Oct-25 23:07 |
py3-ncclient-pyc-0.6.13-r5.apk | 106.5 KiB | 2024-Oct-25 23:07 |
py3-netifaces2-0.0.22-r0.apk | 200.5 KiB | 2024-Oct-25 23:07 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9.2 KiB | 2024-Oct-25 23:07 |
py3-netmiko-4.5.0-r0.apk | 179.2 KiB | 2025-Feb-13 10:33 |
py3-netmiko-pyc-4.5.0-r0.apk | 348.0 KiB | 2025-Feb-13 10:33 |
py3-nmap-0.7.1-r4.apk | 20.4 KiB | 2024-Oct-25 23:07 |
py3-nmap-pyc-0.7.1-r4.apk | 25.5 KiB | 2024-Oct-25 23:07 |
py3-nose-timer-1.0.1-r6.apk | 9.4 KiB | 2024-Oct-25 23:07 |
py3-nose-timer-pyc-1.0.1-r6.apk | 9.9 KiB | 2024-Oct-25 23:07 |
py3-notifymail-1.1-r8.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-notifymail-pyc-1.1-r8.apk | 5.7 KiB | 2024-Oct-25 23:07 |
py3-nptyping-2.5.0-r3.apk | 21.3 KiB | 2024-Oct-25 23:07 |
py3-nptyping-pyc-2.5.0-r3.apk | 32.0 KiB | 2024-Oct-25 23:07 |
py3-ntplib-0.4.0-r5.apk | 7.4 KiB | 2024-Oct-25 23:07 |
py3-ntplib-pyc-0.4.0-r5.apk | 8.6 KiB | 2024-Oct-25 23:07 |
py3-numpy-stl-3.2.0-r0.apk | 21.0 KiB | 2024-Dec-01 05:04 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 27.9 KiB | 2024-Dec-01 05:04 |
py3-nwdiag-3.0.0-r3.apk | 4.9 MiB | 2024-Oct-25 23:07 |
py3-nwdiag-pyc-3.0.0-r3.apk | 77.9 KiB | 2024-Oct-25 23:07 |
py3-okonomiyaki-2.0.0-r0.apk | 7.9 MiB | 2024-Oct-25 23:07 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 243.1 KiB | 2024-Oct-25 23:07 |
py3-onnxruntime-1.22.1-r0.apk | 6.4 MiB | 2025-Jul-15 11:22 |
py3-onnxruntime-pyc-1.22.1-r0.apk | 1.3 MiB | 2025-Jul-15 11:22 |
py3-openapi-codec-1.3.2-r9.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11.7 KiB | 2024-Oct-25 23:07 |
py3-opendht-3.1.11-r0.apk | 154.8 KiB | 2025-Jan-27 23:56 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.2 KiB | 2024-Oct-25 23:07 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.4 KiB | 2024-Oct-25 23:07 |
py3-openwisp-utils-1.0.4-r4.apk | 497.6 KiB | 2024-Oct-25 23:07 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 42.3 KiB | 2024-Oct-25 23:07 |
py3-orderedmultidict-1.0.1-r7.apk | 11.9 KiB | 2024-Oct-25 23:07 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17.2 KiB | 2024-Oct-25 23:07 |
py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10.0 KiB | 2024-Oct-25 23:07 |
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8.2 KiB | 2024-Oct-25 23:07 |
py3-ovos-backend-client-1.0.0-r0.apk | 45.9 KiB | 2024-Oct-25 23:07 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 91.0 KiB | 2024-Oct-25 23:07 |
py3-ovos-bus-client-1.3.4-r0.apk | 50.0 KiB | 2025-Apr-29 22:46 |
py3-ovos-bus-client-pyc-1.3.4-r0.apk | 89.0 KiB | 2025-Apr-29 22:46 |
py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 103.0 KiB | 2024-Oct-25 23:07 |
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 163.5 KiB | 2024-Oct-25 23:07 |
py3-ovos-config-2.1.1-r0.apk | 47.2 KiB | 2025-Jul-15 21:37 |
py3-ovos-config-pyc-2.1.1-r0.apk | 34.8 KiB | 2025-Jul-15 21:37 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 357.8 KiB | 2024-Oct-25 23:07 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 436.7 KiB | 2024-Oct-25 23:07 |
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 8.9 KiB | 2025-Jul-15 23:03 |
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4.8 KiB | 2025-Jul-15 23:03 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 550.2 KiB | 2024-Oct-25 23:07 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 86.0 KiB | 2024-Oct-25 23:07 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47.0 KiB | 2024-Oct-25 23:07 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 103.6 KiB | 2024-Oct-25 23:07 |
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8.1 KiB | 2024-Oct-25 23:07 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3.4 KiB | 2024-Oct-25 23:07 |
py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 11.1 KiB | 2025-Jul-15 23:03 |
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 8.9 KiB | 2025-Jul-15 23:03 |
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 8.2 KiB | 2025-Jul-15 23:03 |
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3.9 KiB | 2025-Jul-15 23:03 |
py3-ovos-phal-plugin-connectivity-events-0.1.2-r..> | 8.8 KiB | 2025-Jul-15 23:03 |
py3-ovos-phal-plugin-connectivity-events-pyc-0.1..> | 5.1 KiB | 2025-Jul-15 23:03 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.5 KiB | 2024-Oct-25 23:07 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 23:07 |
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 95.1 KiB | 2024-Nov-21 16:31 |
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r..> | 11.2 KiB | 2024-Nov-21 16:31 |
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11.9 KiB | 2024-Nov-21 16:31 |
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9.7 KiB | 2024-Nov-21 16:31 |
py3-ovos-phal-plugin-system-1.3.3-r0.apk | 11.0 KiB | 2025-Jul-15 20:20 |
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 10.1 KiB | 2025-Jul-15 20:20 |
py3-ovos-plugin-manager-1.0.3-r0.apk | 86.5 KiB | 2025-Jul-15 22:34 |
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 166.8 KiB | 2025-Jul-15 22:34 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10.1 KiB | 2024-Oct-25 23:07 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.8 KiB | 2024-Oct-25 23:07 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8.3 KiB | 2024-Oct-25 23:07 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9.5 KiB | 2024-Oct-25 23:07 |
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1...> | 5.4 KiB | 2024-Oct-25 23:07 |
py3-ovos-utils-0.8.1-r0.apk | 72.6 KiB | 2025-Jul-15 23:03 |
py3-ovos-utils-pyc-0.8.1-r0.apk | 130.3 KiB | 2025-Jul-15 23:03 |
py3-ovos-workshop-7.0.6-r0.apk | 92.2 KiB | 2025-Jul-15 22:29 |
py3-ovos-workshop-pyc-7.0.6-r0.apk | 165.5 KiB | 2025-Jul-15 22:29 |
py3-owslib-0.34.1-r0.apk | 194.9 KiB | 2025-Jul-15 11:22 |
py3-owslib-pyc-0.34.1-r0.apk | 424.5 KiB | 2025-Jul-15 11:22 |
py3-pacparser-1.4.5-r1.apk | 391.4 KiB | 2024-Oct-25 23:07 |
py3-pacparser-pyc-1.4.5-r1.apk | 4.0 KiB | 2024-Oct-25 23:07 |
py3-padacioso-0.2.1-r0.apk | 11.4 KiB | 2024-Oct-25 23:07 |
py3-padacioso-pyc-0.2.1-r0.apk | 12.3 KiB | 2024-Oct-25 23:07 |
py3-pam-2.0.2-r2.apk | 11.2 KiB | 2024-Oct-25 23:07 |
py3-pam-pyc-2.0.2-r2.apk | 13.0 KiB | 2024-Oct-25 23:07 |
py3-pathvalidate-3.3.1-r0.apk | 19.1 KiB | 2025-Jul-15 11:22 |
py3-pathvalidate-pyc-3.3.1-r0.apk | 33.6 KiB | 2025-Jul-15 11:22 |
py3-pbkdf2-1.3-r7.apk | 6.3 KiB | 2024-Oct-25 23:07 |
py3-pbkdf2-pyc-1.3-r7.apk | 7.1 KiB | 2024-Oct-25 23:07 |
py3-pdal-3.4.5-r1.apk | 165.2 KiB | 2025-Jun-22 00:24 |
py3-pdal-pyc-3.4.5-r1.apk | 13.1 KiB | 2025-Jun-22 00:24 |
py3-pelican-4.9.1-r2.apk | 233.7 KiB | 2024-Oct-25 23:07 |
py3-pelican-pyc-4.9.1-r2.apk | 147.3 KiB | 2024-Oct-25 23:07 |
py3-pep8-naming-0.14.1-r0.apk | 9.8 KiB | 2024-Oct-25 23:07 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13.2 KiB | 2024-Oct-25 23:07 |
py3-phpserialize-1.3-r8.apk | 8.9 KiB | 2024-Oct-25 23:07 |
py3-phpserialize-pyc-1.3-r8.apk | 10.7 KiB | 2024-Oct-25 23:07 |
py3-phx-class-registry-5.0.0-r0.apk | 13.1 KiB | 2024-Oct-25 23:07 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2.2 KiB | 2024-Oct-25 23:07 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17.4 KiB | 2024-Oct-25 23:07 |
py3-piccata-2.0.3-r1.apk | 20.1 KiB | 2024-Oct-25 23:07 |
py3-piccata-pyc-2.0.3-r1.apk | 34.2 KiB | 2024-Oct-25 23:07 |
py3-pickle-secure-0.99.9-r1.apk | 7.5 KiB | 2024-Oct-25 23:07 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5.4 KiB | 2024-Oct-25 23:07 |
py3-pigpio-79-r4.apk | 93.1 KiB | 2024-Oct-25 23:07 |
py3-pika-1.3.2-r1.apk | 143.1 KiB | 2024-Oct-25 23:07 |
py3-pika-pyc-1.3.2-r1.apk | 245.9 KiB | 2024-Oct-25 23:07 |
py3-pillow_heif-0.18.0-r0.apk | 40.7 KiB | 2024-Oct-25 23:07 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 35.5 KiB | 2024-Oct-25 23:07 |
py3-pip-system-certs-4.0-r1.apk | 7.0 KiB | 2024-Oct-25 23:07 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4.7 KiB | 2024-Oct-25 23:07 |
py3-piper-phonemize-2023.11.14.4-r9.apk | 139.8 KiB | 2025-Jul-15 11:22 |
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3.3 KiB | 2025-Jul-15 11:22 |
py3-playsound-1.3.0-r1.apk | 6.9 KiB | 2024-Oct-25 23:07 |
py3-playsound-pyc-1.3.0-r1.apk | 8.5 KiB | 2024-Oct-25 23:07 |
py3-plotly-5.24.1-r2.apk | 20.5 MiB | 2025-Apr-19 01:10 |
py3-pltable-1.1.0-r1.apk | 18.6 KiB | 2024-Nov-13 09:28 |
py3-pltable-pyc-1.1.0-r1.apk | 33.5 KiB | 2024-Nov-13 09:28 |
py3-pockethernet-0.7.0-r4.apk | 14.8 KiB | 2024-Oct-25 23:07 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25.2 KiB | 2024-Oct-25 23:07 |
py3-poetry-dynamic-versioning-1.9.1-r0.apk | 20.6 KiB | 2025-Jul-26 02:41 |
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 26.4 KiB | 2025-Jul-26 02:41 |
py3-poppler-qt5-21.3.0-r2.apk | 117.5 KiB | 2025-Jan-29 22:00 |
py3-ppk2-api-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 23:52 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16.5 KiB | 2024-Nov-30 23:52 |
py3-pprintpp-0.4.0-r1.apk | 13.9 KiB | 2024-Oct-25 23:07 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16.3 KiB | 2024-Oct-25 23:07 |
py3-print-color-0.4.6-r0.apk | 8.9 KiB | 2024-Oct-25 23:07 |
py3-print-color-doc-0.4.6-r0.apk | 2.6 KiB | 2024-Oct-25 23:07 |
py3-print-color-pyc-0.4.6-r0.apk | 5.0 KiB | 2024-Oct-25 23:07 |
py3-priority-2.0.0-r0.apk | 9.9 KiB | 2025-Jul-24 04:27 |
py3-priority-pyc-2.0.0-r0.apk | 9.3 KiB | 2025-Jul-24 04:27 |
py3-proglog-0.1.10-r2.apk | 7.4 KiB | 2024-Oct-25 23:07 |
py3-proglog-pyc-0.1.10-r2.apk | 9.9 KiB | 2024-Oct-25 23:07 |
py3-protego-0.3.1-r0.apk | 9.3 KiB | 2024-Nov-30 23:18 |
py3-protego-pyc-0.3.1-r0.apk | 11.6 KiB | 2024-Nov-30 23:18 |
py3-proxmoxer-2.2.0-r0.apk | 16.9 KiB | 2024-Dec-16 14:36 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 27.7 KiB | 2024-Dec-16 14:36 |
py3-pure_protobuf-3.1.2-r0.apk | 21.1 KiB | 2024-Oct-25 23:07 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 37.7 KiB | 2024-Oct-25 23:07 |
py3-py-radix-0.10.0-r10.apk | 18.4 KiB | 2024-Oct-25 23:07 |
py3-py-radix-pyc-0.10.0-r10.apk | 10.6 KiB | 2024-Oct-25 23:07 |
py3-pyatem-0.5.0-r4.apk | 52.0 KiB | 2024-Oct-25 23:07 |
py3-pyatem-pyc-0.5.0-r4.apk | 91.6 KiB | 2024-Oct-25 23:07 |
py3-pyautogui-0.9.53-r5.apk | 35.1 KiB | 2024-Oct-25 23:07 |
py3-pyautogui-pyc-0.9.53-r5.apk | 45.3 KiB | 2024-Oct-25 23:07 |
py3-pybars3-0.9.7-r6.apk | 14.8 KiB | 2024-Oct-25 23:07 |
py3-pybars3-pyc-0.9.7-r6.apk | 16.8 KiB | 2024-Oct-25 23:07 |
py3-pycaption-2.2.15-r0.apk | 360.1 KiB | 2024-Oct-25 23:07 |
py3-pycolorterm-0.2.1-r6.apk | 5.5 KiB | 2024-Oct-25 23:07 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3.7 KiB | 2024-Oct-25 23:07 |
py3-pycosat-0.6.6-r2.apk | 44.5 KiB | 2024-Oct-25 23:07 |
py3-pydes-2.0.1-r5.apk | 10.7 KiB | 2024-Oct-25 23:07 |
py3-pydes-doc-2.0.1-r5.apk | 3.6 KiB | 2024-Oct-25 23:07 |
py3-pydes-pyc-2.0.1-r5.apk | 13.2 KiB | 2024-Oct-25 23:07 |
py3-pygelbooru-0.5.0-r4.apk | 8.2 KiB | 2024-Oct-25 23:07 |
py3-pygelbooru-pyc-0.5.0-r4.apk | 11.5 KiB | 2024-Oct-25 23:07 |
py3-pygfm-2.0.0-r2.apk | 12.7 KiB | 2024-Oct-25 23:07 |
py3-pygfm-pyc-2.0.0-r2.apk | 13.2 KiB | 2024-Oct-25 23:07 |
py3-pyglet-2.1.5-r0.apk | 889.9 KiB | 2025-Jul-15 11:22 |
py3-pyglet-pyc-2.1.5-r0.apk | 1.6 MiB | 2025-Jul-15 11:22 |
py3-pyglm-2.7.3-r0.apk | 1.4 MiB | 2024-Nov-06 12:42 |
py3-pygpgme-0.3.1-r9.apk | 35.0 KiB | 2024-Oct-25 23:07 |
py3-pygpgme-pyc-0.3.1-r9.apk | 5.1 KiB | 2024-Oct-25 23:07 |
py3-pygtail-0.14.0-r3.apk | 15.0 KiB | 2024-Oct-25 23:07 |
py3-pygtail-pyc-0.14.0-r3.apk | 10.1 KiB | 2024-Oct-25 23:07 |
py3-pyinstaller-6.6.0-r0.apk | 1.9 MiB | 2024-Oct-25 23:07 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 502.3 KiB | 2024-Oct-25 23:07 |
py3-pyinstrument-5.0.3-r0.apk | 109.0 KiB | 2025-Jul-02 20:18 |
py3-pyinstrument-pyc-5.0.3-r0.apk | 100.1 KiB | 2025-Jul-02 20:18 |
py3-pyisbn-1.3.1-r3.apk | 20.4 KiB | 2024-Oct-25 23:07 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9.4 KiB | 2024-Oct-25 23:07 |
py3-pylru-1.2.1-r1.apk | 16.6 KiB | 2024-Oct-25 23:07 |
py3-pylru-pyc-1.2.1-r1.apk | 8.9 KiB | 2024-Oct-25 23:07 |
py3-pymaging-0.0.20130908-r10.apk | 18.0 KiB | 2024-Oct-25 23:07 |
py3-pymaging-png-0.0.20130727-r10.apk | 35.0 KiB | 2024-Oct-25 23:07 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 51.9 KiB | 2024-Oct-25 23:07 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 31.7 KiB | 2024-Oct-25 23:07 |
py3-pymata-2.20-r4.apk | 22.5 KiB | 2024-Oct-25 23:07 |
py3-pymata-pyc-2.20-r4.apk | 29.4 KiB | 2024-Oct-25 23:07 |
py3-pymata4-1.15-r4.apk | 23.0 KiB | 2024-Oct-25 23:07 |
py3-pymata4-pyc-1.15-r4.apk | 30.8 KiB | 2024-Oct-25 23:07 |
py3-pymeta3-0.5.1-r6.apk | 16.7 KiB | 2024-Oct-25 23:07 |
py3-pymeta3-pyc-0.5.1-r6.apk | 31.5 KiB | 2024-Oct-25 23:07 |
py3-pymsgbox-1.0.9-r5.apk | 9.2 KiB | 2024-Oct-25 23:07 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 9.8 KiB | 2024-Oct-25 23:07 |
py3-pymsteams-0.2.5-r0.apk | 12.3 KiB | 2025-Feb-17 15:07 |
py3-pymsteams-pyc-0.2.5-r0.apk | 6.5 KiB | 2025-Feb-17 15:07 |
py3-pymupdf-1.25.5-r0.apk | 320.1 KiB | 2025-Jun-10 22:49 |
py3-pymupdf-pyc-1.25.5-r0.apk | 502.9 KiB | 2025-Jun-10 22:49 |
py3-pynest2d-5.2.2-r5.apk | 243.5 KiB | 2025-Feb-06 07:42 |
py3-pyparted-3.13.0-r1.apk | 75.6 KiB | 2024-Oct-25 23:07 |
py3-pyparted-pyc-3.13.0-r1.apk | 42.2 KiB | 2024-Oct-25 23:07 |
py3-pypubsub-4.0.3-r0.apk | 52.4 KiB | 2024-Oct-25 23:07 |
py3-pypubsub-doc-4.0.3-r0.apk | 2.1 KiB | 2024-Oct-25 23:07 |
py3-pypubsub-pyc-4.0.3-r0.apk | 89.9 KiB | 2024-Oct-25 23:07 |
py3-pyqrcode-1.2.1-r0.apk | 36.6 KiB | 2024-Oct-25 23:07 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4.3 KiB | 2024-Oct-25 23:07 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 47.3 KiB | 2024-Oct-25 23:07 |
py3-pyrebase-3.0.27-r5.apk | 9.6 KiB | 2024-Oct-25 23:07 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17.6 KiB | 2024-Oct-25 23:07 |
py3-pyroma-4.2-r0.apk | 21.9 KiB | 2024-Oct-25 23:07 |
py3-pyroma-pyc-4.2-r0.apk | 26.0 KiB | 2024-Oct-25 23:07 |
py3-pyscreeze-0.1.29-r3.apk | 14.1 KiB | 2024-Oct-25 23:07 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14.2 KiB | 2024-Oct-25 23:07 |
py3-pysimplesoap-1.16.2-r7.apk | 43.7 KiB | 2024-Oct-25 23:07 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 78.2 KiB | 2024-Oct-25 23:07 |
py3-pysonic-1.0.3-r0.apk | 34.8 KiB | 2025-Apr-17 01:35 |
py3-pysonic-pyc-1.0.3-r0.apk | 32.2 KiB | 2025-Apr-17 01:35 |
py3-pyspinel-1.0.3-r1.apk | 56.0 KiB | 2024-Oct-25 23:07 |
py3-pyspinel-pyc-1.0.3-r1.apk | 63.0 KiB | 2024-Oct-25 23:07 |
py3-pysrt-1.1.2-r5.apk | 25.5 KiB | 2025-May-15 00:14 |
py3-pysrt-pyc-1.1.2-r5.apk | 22.7 KiB | 2025-May-15 00:14 |
py3-pystache-0.6.5-r1.apk | 68.1 KiB | 2024-Oct-25 23:07 |
py3-pystache-pyc-0.6.5-r1.apk | 96.7 KiB | 2024-Oct-25 23:07 |
py3-pysubs2-1.8.0-r0.apk | 35.9 KiB | 2024-Dec-26 01:09 |
py3-pysubs2-pyc-1.8.0-r0.apk | 67.7 KiB | 2024-Dec-26 01:09 |
py3-pytaglib-3.0.0-r0.apk | 39.0 KiB | 2025-Jan-26 23:17 |
py3-pytaglib-pyc-3.0.0-r0.apk | 2.9 KiB | 2025-Jan-26 23:17 |
py3-pytap2-2.3.0-r0.apk | 7.0 KiB | 2024-Oct-25 23:07 |
py3-pytap2-doc-2.3.0-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
py3-pytap2-pyc-2.3.0-r0.apk | 6.0 KiB | 2024-Oct-25 23:07 |
py3-pyte-0.8.2-r3.apk | 29.9 KiB | 2025-May-15 00:14 |
py3-pyte-pyc-0.8.2-r3.apk | 39.2 KiB | 2025-May-15 00:14 |
py3-pytest-datadir-1.7.2-r0.apk | 6.8 KiB | 2025-Jul-15 11:22 |
py3-pytest-datadir-pyc-1.7.2-r0.apk | 5.0 KiB | 2025-Jul-15 11:22 |
py3-pytest-expect-1.1.0-r10.apk | 5.9 KiB | 2024-Oct-25 23:07 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 7.0 KiB | 2024-Oct-25 23:07 |
py3-pytest-home-0.6.0-r0.apk | 4.5 KiB | 2024-Oct-25 23:07 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
py3-pytest-html-4.1.1-r1.apk | 21.6 KiB | 2024-Oct-25 23:07 |
py3-pytest-html-pyc-4.1.1-r1.apk | 21.8 KiB | 2024-Oct-25 23:07 |
py3-pytest-metadata-3.1.1-r0.apk | 10.2 KiB | 2024-Oct-25 23:07 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-pytest-regtest-2.3.2-r1.apk | 15.8 KiB | 2025-Feb-17 15:07 |
py3-pytest-regtest-pyc-2.3.2-r1.apk | 30.0 KiB | 2025-Feb-17 15:07 |
py3-pytest-subprocess-1.5.2-r0.apk | 19.5 KiB | 2024-Oct-25 23:07 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24.5 KiB | 2024-Oct-25 23:07 |
py3-python-archive-0.2-r7.apk | 7.4 KiB | 2024-Oct-25 23:07 |
py3-python-archive-pyc-0.2-r7.apk | 9.3 KiB | 2024-Oct-25 23:07 |
py3-python-iptables-1.0.1-r1.apk | 38.5 KiB | 2024-Oct-25 23:07 |
py3-python-iptables-pyc-1.0.1-r1.apk | 67.9 KiB | 2024-Oct-25 23:07 |
py3-python-jwt-4.1.0-r2.apk | 8.1 KiB | 2025-May-16 00:22 |
py3-python-jwt-pyc-4.1.0-r2.apk | 6.5 KiB | 2025-May-16 00:22 |
py3-python-logstash-0.4.8-r4.apk | 8.6 KiB | 2024-Oct-25 23:07 |
py3-python-logstash-doc-0.4.8-r4.apk | 2.3 KiB | 2024-Oct-25 23:07 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8.4 KiB | 2024-Oct-25 23:07 |
py3-python-stdnum-1.20-r0.apk | 805.7 KiB | 2024-Oct-25 23:07 |
py3-python-stdnum-pyc-1.20-r0.apk | 293.5 KiB | 2024-Oct-25 23:07 |
py3-pyvcd-0.4.1-r0.apk | 22.7 KiB | 2024-Nov-12 00:46 |
py3-pyvcd-pyc-0.4.1-r0.apk | 40.5 KiB | 2024-Nov-12 00:46 |
py3-pyzor-1.0.0-r11.apk | 40.2 KiB | 2024-Oct-25 23:07 |
py3-pyzor-pyc-1.0.0-r11.apk | 53.7 KiB | 2024-Oct-25 23:07 |
py3-qasync-0.19.0-r2.apk | 36.9 KiB | 2024-Oct-25 23:07 |
py3-qpageview-0.6.2-r1.apk | 98.0 KiB | 2024-Oct-25 23:07 |
py3-qpageview-doc-0.6.2-r1.apk | 56.3 KiB | 2024-Oct-25 23:07 |
py3-qpageview-pyc-0.6.2-r1.apk | 180.2 KiB | 2024-Oct-25 23:07 |
py3-quart-0.20.0-r0.apk | 68.4 KiB | 2025-Jul-24 04:27 |
py3-quart-pyc-0.20.0-r0.apk | 147.0 KiB | 2025-Jul-24 04:27 |
py3-quebra-frases-0.3.7-r1.apk | 8.8 KiB | 2024-Oct-25 23:07 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7.8 KiB | 2024-Oct-25 23:07 |
py3-queuelib-1.7.0-r0.apk | 12.8 KiB | 2024-Oct-25 23:07 |
py3-queuelib-pyc-1.7.0-r0.apk | 24.8 KiB | 2024-Oct-25 23:07 |
py3-rabbit-1.1.0-r8.apk | 10.9 KiB | 2024-Oct-25 23:07 |
py3-rabbit-pyc-1.1.0-r8.apk | 15.5 KiB | 2024-Oct-25 23:07 |
py3-radon-6.0.1-r2.apk | 31.5 KiB | 2024-Oct-25 23:07 |
py3-radon-doc-6.0.1-r2.apk | 5.1 KiB | 2024-Oct-25 23:07 |
py3-radon-pyc-6.0.1-r2.apk | 49.6 KiB | 2024-Oct-25 23:07 |
py3-recommonmark-0.7.1-r4.apk | 11.7 KiB | 2024-Oct-25 23:07 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17.5 KiB | 2024-Oct-25 23:07 |
py3-recurring-ical-events-3.8.0-r0.apk | 38.9 KiB | 2025-Jun-15 07:01 |
py3-recurring-ical-events-pyc-3.8.0-r0.apk | 49.9 KiB | 2025-Jun-15 07:01 |
py3-redmine-2.5.0-r0.apk | 36.8 KiB | 2024-Oct-25 23:07 |
py3-redmine-pyc-2.5.0-r0.apk | 53.6 KiB | 2024-Oct-25 23:07 |
py3-remind-0.19.2-r0.apk | 24.4 KiB | 2025-Apr-23 00:28 |
py3-remind-pyc-0.19.2-r0.apk | 22.5 KiB | 2025-Apr-23 00:28 |
py3-requests-cache-1.2.1-r1.apk | 49.9 KiB | 2024-Nov-20 01:34 |
py3-requests-cache-pyc-1.2.1-r1.apk | 94.2 KiB | 2024-Nov-20 01:34 |
py3-requests-kerberos-0.15.0-r0.apk | 12.1 KiB | 2024-Dec-01 20:24 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 10.9 KiB | 2024-Dec-01 20:24 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.5 KiB | 2024-Oct-25 23:07 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.6 KiB | 2024-Oct-25 23:07 |
py3-rfc-bibtex-0.3.2-r7.apk | 12.9 KiB | 2024-Oct-25 23:07 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12.4 KiB | 2024-Oct-25 23:07 |
py3-rich-click-1.7.3-r1.apk | 30.7 KiB | 2024-Oct-25 23:07 |
py3-rich-click-pyc-1.7.3-r1.apk | 40.3 KiB | 2024-Oct-25 23:07 |
py3-riotctrl-0.5.0-r4.apk | 13.0 KiB | 2024-Oct-25 23:07 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10.7 KiB | 2024-Oct-25 23:07 |
py3-rns-0.9.6-r0.apk | 344.1 KiB | 2025-May-28 00:40 |
py3-rns-pyc-0.9.6-r0.apk | 704.1 KiB | 2025-May-28 00:40 |
py3-rofi-1.0.1-r0.apk | 12.2 KiB | 2025-Jun-10 22:49 |
py3-rofi-pyc-1.0.1-r0.apk | 11.7 KiB | 2025-Jun-10 22:49 |
py3-rosdistro-0.9.0-r3.apk | 47.1 KiB | 2024-Oct-25 23:07 |
py3-rosdistro-pyc-0.9.0-r3.apk | 91.3 KiB | 2024-Oct-25 23:07 |
py3-rospkg-1.2.9-r5.apk | 28.8 KiB | 2024-Oct-25 23:07 |
py3-rospkg-pyc-1.2.9-r5.apk | 54.1 KiB | 2024-Oct-25 23:07 |
py3-rpio-0.10.1-r8.apk | 35.7 KiB | 2024-Oct-25 23:07 |
py3-rpio-pyc-0.10.1-r8.apk | 15.9 KiB | 2024-Oct-25 23:07 |
py3-rst-0.1-r9.apk | 5.6 KiB | 2024-Oct-25 23:07 |
py3-rst-pyc-0.1-r9.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-rst.linker-2.6.0-r0.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6.6 KiB | 2024-Oct-25 23:07 |
py3-rst2ansi-0.1.5-r0.apk | 12.2 KiB | 2024-Oct-25 23:07 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2.2 KiB | 2024-Oct-25 23:07 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24.0 KiB | 2024-Oct-25 23:07 |
py3-rst2pdf-0.102-r0.apk | 154.5 KiB | 2025-Jun-10 22:49 |
py3-rst2pdf-pyc-0.102-r0.apk | 205.8 KiB | 2025-Jun-10 22:49 |
py3-rtree-1.4.0-r2.apk | 26.2 KiB | 2025-May-31 13:08 |
py3-rtree-pyc-1.4.0-r2.apk | 47.5 KiB | 2025-May-31 13:08 |
py3-schema-0.7.7-r0.apk | 19.4 KiB | 2025-Jul-07 19:08 |
py3-schema-pyc-0.7.7-r0.apk | 20.5 KiB | 2025-Jul-07 19:08 |
py3-scour-0.38.2-r1.apk | 56.4 KiB | 2024-Oct-25 23:07 |
py3-scour-pyc-0.38.2-r1.apk | 73.8 KiB | 2024-Oct-25 23:07 |
py3-scrapy-2.11.1-r1.apk | 240.0 KiB | 2024-Oct-25 23:07 |
py3-scrapy-pyc-2.11.1-r1.apk | 482.0 KiB | 2024-Oct-25 23:07 |
py3-scs-3.2.3-r4.apk | 101.3 KiB | 2024-Oct-25 23:07 |
py3-scs-pyc-3.2.3-r4.apk | 4.9 KiB | 2024-Oct-25 23:07 |
py3-senf-1.5.0-r0.apk | 20.2 KiB | 2025-Jun-27 05:58 |
py3-senf-pyc-1.5.0-r0.apk | 32.5 KiB | 2025-Jun-27 05:58 |
py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-Oct-25 23:07 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42.2 KiB | 2024-Oct-25 23:07 |
py3-setuptools-lint-0.6.0-r9.apk | 5.4 KiB | 2024-Oct-25 23:07 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 6.0 KiB | 2024-Oct-25 23:07 |
py3-sh-2.1.0-r0.apk | 37.8 KiB | 2024-Nov-01 18:13 |
py3-sh-pyc-2.1.0-r0.apk | 54.9 KiB | 2024-Nov-01 18:13 |
py3-shodan-1.31.0-r1.apk | 44.1 KiB | 2024-Oct-25 23:07 |
py3-shodan-doc-1.31.0-r1.apk | 7.2 KiB | 2024-Oct-25 23:07 |
py3-shodan-pyc-1.31.0-r1.apk | 79.2 KiB | 2024-Oct-25 23:07 |
py3-simber-0.2.6-r5.apk | 11.9 KiB | 2025-May-15 00:14 |
py3-simber-pyc-0.2.6-r5.apk | 16.2 KiB | 2025-May-15 00:14 |
py3-simpleeval-1.0.3-r0.apk | 15.6 KiB | 2025-Jun-24 22:38 |
py3-simpleeval-pyc-1.0.3-r0.apk | 16.5 KiB | 2025-Jun-24 22:38 |
py3-simplematch-1.4-r1.apk | 8.0 KiB | 2024-Oct-25 23:07 |
py3-simplematch-pyc-1.4-r1.apk | 5.8 KiB | 2024-Oct-25 23:07 |
py3-simplesat-0.8.2-r0.apk | 214.0 KiB | 2024-Oct-25 23:07 |
py3-simplesat-pyc-0.8.2-r0.apk | 156.6 KiB | 2024-Oct-25 23:07 |
py3-simplesoapy-1.5.1-r7.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 11.8 KiB | 2024-Oct-25 23:07 |
py3-simplespectral-1.0.0-r5.apk | 7.5 KiB | 2024-Oct-25 23:07 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8.1 KiB | 2024-Oct-25 23:07 |
py3-slidge-style-parser-0.1.9-r0.apk | 223.3 KiB | 2025-Apr-12 13:35 |
py3-slidge-style-parser-pyc-0.1.9-r0.apk | 2.0 KiB | 2025-Apr-12 13:35 |
py3-slixmpp-1.8.5-r2.apk | 381.9 KiB | 2024-Oct-25 23:07 |
py3-slixmpp-doc-1.8.5-r2.apk | 5.8 KiB | 2024-Oct-25 23:07 |
py3-slixmpp-pyc-1.8.5-r2.apk | 729.5 KiB | 2024-Oct-25 23:07 |
py3-snapshottest-0.6.0-r5.apk | 15.1 KiB | 2024-Oct-25 23:07 |
py3-snapshottest-pyc-0.6.0-r5.apk | 25.7 KiB | 2024-Oct-25 23:07 |
py3-soappy-0.52.30-r0.apk | 47.3 KiB | 2024-Dec-03 01:54 |
py3-soappy-pyc-0.52.30-r0.apk | 95.3 KiB | 2024-Dec-03 01:54 |
py3-soapy_power-1.6.1-r5.apk | 17.5 KiB | 2024-Oct-25 23:07 |
py3-soapy_power-pyc-1.6.1-r5.apk | 26.5 KiB | 2024-Oct-25 23:07 |
py3-sortedcollections-2.1.0-r5.apk | 10.7 KiB | 2024-Oct-25 23:07 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 13.9 KiB | 2024-Oct-25 23:07 |
py3-spake2-0.9-r0.apk | 30.1 KiB | 2024-Oct-25 23:07 |
py3-spake2-pyc-0.9-r0.apk | 43.5 KiB | 2024-Oct-25 23:07 |
py3-sphinx-argparse-0.5.2-r0.apk | 14.2 KiB | 2024-Oct-25 23:07 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22.1 KiB | 2024-Oct-25 23:07 |
py3-sphinx-autoapi-3.6.0-r0.apk | 31.2 KiB | 2025-Feb-19 01:39 |
py3-sphinx-autoapi-pyc-3.6.0-r0.apk | 58.8 KiB | 2025-Feb-19 01:39 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10.5 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2.0 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1.2 MiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2.3 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 64.8 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.8 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.3 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.8 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.9 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.5 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2.4 MiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5.5 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8.8 KiB | 2024-Oct-25 23:07 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.2 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9.3 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 9.0 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12.0 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.7 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.5 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.7 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.2 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.5 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-doxylink-1.12.3-r0.apk | 12.2 KiB | 2024-Dec-07 01:57 |
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk | 16.4 KiB | 2024-Dec-07 01:57 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.5 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.3 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.6 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.6 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15.0 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.3 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1...> | 21.4 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930..> | 43.8 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.5 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.6 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 4.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10.3 KiB | 2025-May-15 00:14 |
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16.0 KiB | 2025-May-15 00:14 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.7 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11.2 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11.3 KiB | 2025-Jul-15 11:22 |
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 17.2 KiB | 2025-Jul-15 11:22 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16.5 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 23.8 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.3 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.5 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.3 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-slide-1.0.0-r4.apk | 4.9 KiB | 2025-May-15 00:14 |
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5.6 KiB | 2025-May-15 00:14 |
py3-sphinxcontrib-spelling-8.0.1-r0.apk | 13.2 KiB | 2025-Jul-15 11:22 |
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 18.9 KiB | 2025-Jul-15 11:22 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.3 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.9 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.7 KiB | 2024-Oct-25 23:07 |
py3-spidev-3.6-r1.apk | 13.5 KiB | 2024-Oct-25 23:07 |
py3-spin-0.8-r0.apk | 18.5 KiB | 2024-Oct-25 23:07 |
py3-spin-pyc-0.8-r0.apk | 24.5 KiB | 2024-Oct-25 23:07 |
py3-spinners-0.0.24-r5.apk | 6.1 KiB | 2024-Oct-25 23:07 |
py3-spinners-pyc-0.0.24-r5.apk | 6.3 KiB | 2024-Oct-25 23:07 |
py3-spnego-0.11.2-r0.apk | 117.9 KiB | 2025-Jan-16 10:52 |
py3-spnego-pyc-0.11.2-r0.apk | 219.1 KiB | 2025-Jan-16 10:52 |
py3-spotipy-2.24.0-r2.apk | 29.6 KiB | 2025-May-15 00:14 |
py3-spotipy-pyc-2.24.0-r2.apk | 49.5 KiB | 2025-May-15 00:14 |
py3-sqlmodel-0.0.22-r1.apk | 26.3 KiB | 2024-Dec-07 02:03 |
py3-sqlmodel-pyc-0.0.22-r1.apk | 41.2 KiB | 2024-Dec-07 02:03 |
py3-sssd-2.11.0-r0.apk | 56.4 KiB | 2025-Jun-06 11:59 |
py3-sssd-pyc-2.11.0-r0.apk | 48.6 KiB | 2025-Jun-06 11:59 |
py3-sstash-0.17-r9.apk | 7.7 KiB | 2024-Oct-25 23:07 |
py3-sstash-pyc-0.17-r9.apk | 10.3 KiB | 2024-Oct-25 23:07 |
py3-svglib-1.5.1-r0.apk | 29.9 KiB | 2025-Jun-10 22:49 |
py3-svglib-doc-1.5.1-r0.apk | 2.1 KiB | 2025-Jun-10 22:49 |
py3-svglib-pyc-1.5.1-r0.apk | 42.4 KiB | 2025-Jun-10 22:49 |
py3-svgpath-7.0-r0.apk | 18.6 KiB | 2025-Jul-08 09:16 |
py3-svgpath-pyc-7.0-r0.apk | 23.8 KiB | 2025-Jul-08 09:16 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-Oct-25 23:07 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2.1 KiB | 2024-Oct-25 23:07 |
py3-synapse-auto-accept-invite-1.2.0-r0.apk | 9.8 KiB | 2024-Oct-25 23:07 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk | 5.6 KiB | 2024-Oct-25 23:07 |
py3-tailer-0.4.1-r7.apk | 6.9 KiB | 2024-Oct-25 23:07 |
py3-tailer-pyc-0.4.1-r7.apk | 6.6 KiB | 2024-Oct-25 23:07 |
py3-tasklib-2.5.1-r2.apk | 23.1 KiB | 2024-Oct-25 23:07 |
py3-tasklib-pyc-2.5.1-r2.apk | 51.5 KiB | 2024-Oct-25 23:07 |
py3-telegram-0.18.0-r3.apk | 13.6 KiB | 2024-Oct-25 23:07 |
py3-telegram-bot-21.10-r0.apk | 454.3 KiB | 2025-Feb-17 15:07 |
py3-telegram-bot-pyc-21.10-r0.apk | 723.7 KiB | 2025-Feb-17 15:07 |
py3-telegram-pyc-0.18.0-r3.apk | 20.9 KiB | 2024-Oct-25 23:07 |
py3-telegram-text-0.2.0-r1.apk | 9.2 KiB | 2024-Oct-25 23:07 |
py3-telegram-text-pyc-0.2.0-r1.apk | 13.0 KiB | 2024-Oct-25 23:07 |
py3-telemetrix-1.20-r3.apk | 20.9 KiB | 2024-Oct-25 23:07 |
py3-telemetrix-pyc-1.20-r3.apk | 31.0 KiB | 2024-Oct-25 23:07 |
py3-teletype-1.3.4-r3.apk | 15.4 KiB | 2024-Oct-25 23:07 |
py3-teletype-pyc-1.3.4-r3.apk | 20.5 KiB | 2024-Oct-25 23:07 |
py3-testresources-2.0.1-r6.apk | 17.3 KiB | 2024-Oct-25 23:07 |
py3-testresources-pyc-2.0.1-r6.apk | 16.0 KiB | 2024-Oct-25 23:07 |
py3-textual-3.2.0-r0.apk | 596.3 KiB | 2025-May-15 00:14 |
py3-textual-pyc-3.2.0-r0.apk | 1.2 MiB | 2025-May-15 00:14 |
py3-tg-0.19.0-r5.apk | 73.4 KiB | 2024-Oct-25 23:07 |
py3-tg-pyc-0.19.0-r5.apk | 80.6 KiB | 2024-Oct-25 23:07 |
py3-thefuzz-0.22.1-r1.apk | 10.0 KiB | 2024-Oct-25 23:07 |
py3-thefuzz-pyc-0.22.1-r1.apk | 9.0 KiB | 2024-Oct-25 23:07 |
py3-ticket-auth-0.1.4-r9.apk | 6.0 KiB | 2024-Oct-25 23:07 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6.4 KiB | 2024-Oct-25 23:07 |
py3-tidalapi-0.8.4-r0.apk | 50.1 KiB | 2025-Jul-15 11:22 |
py3-tidalapi-pyc-0.8.4-r0.apk | 90.7 KiB | 2025-Jul-15 11:22 |
py3-timeago-1.0.16-r0.apk | 23.6 KiB | 2024-Oct-25 23:07 |
py3-timeago-doc-1.0.16-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
py3-timeago-pyc-1.0.16-r0.apk | 27.7 KiB | 2024-Oct-25 23:07 |
py3-tls_parser-2.0.2-r0.apk | 10.3 KiB | 2025-Jul-15 11:22 |
py3-tls_parser-pyc-2.0.2-r0.apk | 17.2 KiB | 2025-Jul-15 11:22 |
py3-tlslite-ng-0.7.6-r8.apk | 178.5 KiB | 2024-Oct-25 23:07 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 274.8 KiB | 2024-Oct-25 23:07 |
py3-tokenizers-0.21.2-r0.apk | 1.7 MiB | 2025-Jun-30 01:42 |
py3-tokenizers-pyc-0.21.2-r0.apk | 28.9 KiB | 2025-Jun-30 01:42 |
py3-tpm2-pytss-2.3.0-r1.apk | 285.8 KiB | 2024-Oct-25 23:07 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 236.1 KiB | 2024-Oct-25 23:07 |
py3-transitions-0.9.2-r0.apk | 97.7 KiB | 2024-Oct-25 23:07 |
py3-transitions-pyc-0.9.2-r0.apk | 128.8 KiB | 2024-Oct-25 23:07 |
py3-translationstring-1.4-r4.apk | 9.2 KiB | 2024-Oct-25 23:07 |
py3-translationstring-pyc-1.4-r4.apk | 8.8 KiB | 2024-Oct-25 23:07 |
py3-trivup-0.12.2-r2.apk | 34.0 KiB | 2024-Oct-25 23:07 |
py3-trivup-pyc-0.12.2-r2.apk | 54.9 KiB | 2024-Oct-25 23:07 |
py3-truststore-0.10.1-r0.apk | 17.5 KiB | 2025-Feb-10 04:33 |
py3-truststore-pyc-0.10.1-r0.apk | 25.5 KiB | 2025-Feb-10 04:33 |
py3-twiggy-0.5.1-r4.apk | 24.1 KiB | 2024-Oct-25 23:07 |
py3-twiggy-pyc-0.5.1-r4.apk | 38.7 KiB | 2024-Oct-25 23:07 |
py3-typing_inspect-0.9.0-r2.apk | 9.9 KiB | 2024-Oct-25 23:07 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14.2 KiB | 2024-Oct-25 23:07 |
py3-u-msgpack-2.8.0-r2.apk | 10.9 KiB | 2024-Oct-25 23:07 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16.2 KiB | 2024-Oct-25 23:07 |
py3-uacme-desec-1.2.1-r0.apk | 5.7 KiB | 2024-Oct-25 23:07 |
py3-uacme-desec-doc-1.2.1-r0.apk | 2.2 KiB | 2024-Oct-25 23:07 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6.8 KiB | 2024-Oct-25 23:07 |
py3-uc-micro-py-1.0.2-r1.apk | 9.1 KiB | 2024-Oct-25 23:07 |
py3-unearth-0.17.5-r0.apk | 40.4 KiB | 2025-Apr-11 08:33 |
py3-unearth-pyc-0.17.5-r0.apk | 81.6 KiB | 2025-Apr-11 08:33 |
py3-unicorn-hat-2.1.2-r6.apk | 15.6 KiB | 2024-Nov-06 12:42 |
py3-unicrypto-0.0.10-r3.apk | 59.0 KiB | 2025-May-29 14:57 |
py3-unicrypto-pyc-0.0.10-r3.apk | 92.4 KiB | 2025-May-29 14:57 |
py3-unidns-0.0.1-r3.apk | 13.2 KiB | 2025-May-29 14:57 |
py3-unidns-examples-0.0.1-r3.apk | 2.6 KiB | 2025-May-29 14:57 |
py3-unidns-pyc-0.0.1-r3.apk | 21.4 KiB | 2025-May-29 14:57 |
py3-uptime-3.0.1-r9.apk | 9.8 KiB | 2024-Oct-25 23:07 |
py3-uptime-pyc-3.0.1-r9.apk | 8.7 KiB | 2024-Oct-25 23:07 |
py3-urlobject-2.4.3-r9.apk | 14.8 KiB | 2024-Oct-25 23:07 |
py3-urlobject-pyc-2.4.3-r9.apk | 24.7 KiB | 2024-Oct-25 23:07 |
py3-us-3.2.0-r0.apk | 14.3 KiB | 2024-Oct-25 23:07 |
py3-us-pyc-3.2.0-r0.apk | 15.2 KiB | 2024-Oct-25 23:07 |
py3-utc-0.0.3-r9.apk | 3.5 KiB | 2024-Oct-25 23:07 |
py3-utc-pyc-0.0.3-r9.apk | 2.8 KiB | 2024-Oct-25 23:07 |
py3-vatnumber-1.2-r9.apk | 18.6 KiB | 2024-Oct-25 23:07 |
py3-vatnumber-pyc-1.2-r9.apk | 8.6 KiB | 2024-Oct-25 23:07 |
py3-vdf-3.4-r1.apk | 11.3 KiB | 2024-Oct-25 23:07 |
py3-vdf-pyc-3.4-r1.apk | 16.6 KiB | 2024-Oct-25 23:07 |
py3-venusian-3.1.1-r0.apk | 14.4 KiB | 2024-Dec-08 00:14 |
py3-venusian-pyc-3.1.1-r0.apk | 12.5 KiB | 2024-Dec-08 00:14 |
py3-virtualenvwrapper-6.1.0-r1.apk | 21.9 KiB | 2024-Oct-25 23:07 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11.7 KiB | 2024-Oct-25 23:07 |
py3-visitor-0.1.3-r7.apk | 4.6 KiB | 2024-Oct-25 23:07 |
py3-visitor-pyc-0.1.3-r7.apk | 2.6 KiB | 2024-Oct-25 23:07 |
py3-ward-0.67.0_beta0-r2.apk | 40.7 KiB | 2024-Oct-25 23:07 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 79.6 KiB | 2024-Oct-25 23:07 |
py3-wbdata-1.0.0-r1.apk | 18.5 KiB | 2024-Oct-25 23:07 |
py3-wbdata-pyc-1.0.0-r1.apk | 19.6 KiB | 2024-Oct-25 23:07 |
py3-wg-netns-2.3.1-r1.apk | 7.6 KiB | 2024-Oct-25 23:07 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13.4 KiB | 2024-Oct-25 23:07 |
py3-wgconfig-1.1.0-r0.apk | 22.0 KiB | 2025-Jan-29 09:49 |
py3-wgconfig-pyc-1.1.0-r0.apk | 11.7 KiB | 2025-Jan-29 09:49 |
py3-wifi-0.3.8-r7.apk | 13.0 KiB | 2024-Oct-25 23:07 |
py3-wifi-pyc-0.3.8-r7.apk | 13.7 KiB | 2024-Oct-25 23:07 |
py3-winacl-0.1.9-r1.apk | 82.7 KiB | 2025-May-29 14:57 |
py3-winacl-pyc-0.1.9-r1.apk | 131.2 KiB | 2025-May-29 14:57 |
py3-wsgiprox-1.5.2-r1.apk | 16.7 KiB | 2024-Oct-25 23:07 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28.2 KiB | 2024-Oct-25 23:07 |
py3-wstools-0.4.10-r7.apk | 53.2 KiB | 2024-Oct-25 23:07 |
py3-wstools-pyc-0.4.10-r7.apk | 110.8 KiB | 2024-Oct-25 23:07 |
py3-wtf-peewee-3.0.6-r0.apk | 12.7 KiB | 2024-Oct-25 23:07 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 24.7 KiB | 2024-Oct-25 23:07 |
py3-x-wr-timezone-2.0.1-r0.apk | 11.7 KiB | 2025-Feb-09 18:13 |
py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7.1 KiB | 2025-Feb-09 18:13 |
py3-xapp-2.4.2-r0.apk | 33.6 KiB | 2024-Nov-12 14:04 |
py3-xdoctest-1.2.0-r0.apk | 312.5 KiB | 2024-Nov-21 16:31 |
py3-xlwt-1.3.0-r10.apk | 93.7 KiB | 2025-May-15 00:14 |
py3-xlwt-pyc-1.3.0-r10.apk | 164.9 KiB | 2025-May-15 00:14 |
py3-xsdata-25.7-r0.apk | 189.7 KiB | 2025-Jul-07 23:47 |
py3-xsdata-pyc-25.7-r0.apk | 392.7 KiB | 2025-Jul-07 23:47 |
py3-yapsy-1.12.2-r7.apk | 32.2 KiB | 2024-Oct-25 23:07 |
py3-yapsy-pyc-1.12.2-r7.apk | 47.1 KiB | 2024-Oct-25 23:07 |
py3-yara-4.5.1-r0.apk | 17.3 KiB | 2024-Oct-25 23:07 |
py3-yosys-0.42-r1.apk | 1.8 KiB | 2025-Jun-12 15:08 |
py3-youtube-search-1.6.6-r5.apk | 78.1 KiB | 2025-May-15 00:14 |
py3-youtube-search-pyc-1.6.6-r5.apk | 95.3 KiB | 2025-May-15 00:14 |
py3-zimscraperlib-3.4.0-r0.apk | 51.6 KiB | 2024-Nov-06 12:42 |
py3-zimscraperlib-pyc-3.4.0-r0.apk | 68.4 KiB | 2024-Nov-06 12:42 |
py3-zipfile2-0.0.12-r0.apk | 45.2 KiB | 2024-Oct-25 23:07 |
py3-zipfile2-pyc-0.0.12-r0.apk | 28.9 KiB | 2024-Oct-25 23:07 |
py3-zope-configuration-5.0.1-r2.apk | 39.1 KiB | 2024-Oct-25 23:07 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 48.5 KiB | 2024-Oct-25 23:07 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 15.3 KiB | 2024-Oct-25 23:07 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8.0 KiB | 2024-Oct-25 23:07 |
py3-zope-schema-7.0.1-r3.apk | 45.3 KiB | 2024-Oct-25 23:07 |
py3-zope-schema-pyc-7.0.1-r3.apk | 61.1 KiB | 2024-Oct-25 23:07 |
pyinfra-3.3.1-r0.apk | 192.0 KiB | 2025-Jul-16 11:09 |
pyinfra-pyc-3.3.1-r0.apk | 361.0 KiB | 2025-Jul-16 11:09 |
pympress-1.8.5-r1.apk | 181.2 KiB | 2024-Oct-25 23:07 |
pympress-doc-1.8.5-r1.apk | 348.4 KiB | 2024-Oct-25 23:07 |
pympress-lang-1.8.5-r1.apk | 56.3 KiB | 2024-Oct-25 23:07 |
pympress-pyc-1.8.5-r1.apk | 182.2 KiB | 2024-Oct-25 23:07 |
pyonji-0.1.0-r6.apk | 2.8 MiB | 2025-Jul-10 05:28 |
pypykatz-0.6.11-r1.apk | 315.4 KiB | 2025-May-29 14:57 |
pypykatz-pyc-0.6.11-r1.apk | 721.1 KiB | 2025-May-29 14:57 |
pyradio-0.9.3.11-r0.apk | 870.8 KiB | 2024-Oct-25 23:07 |
pyradio-doc-0.9.3.11-r0.apk | 112.7 KiB | 2024-Oct-25 23:07 |
pyradio-pyc-0.9.3.11-r0.apk | 810.3 KiB | 2024-Oct-25 23:07 |
qadwaitadecorations-0.1.6-r0.apk | 43.9 KiB | 2025-May-15 00:14 |
qbittorrent-cli-2.2.0-r1.apk | 5.6 MiB | 2025-Jul-10 05:28 |
qdjango-0.6.2-r1.apk | 92.5 KiB | 2024-Oct-25 23:07 |
qdjango-dev-0.6.2-r1.apk | 14.1 KiB | 2024-Oct-25 23:07 |
qflipper-1.3.3-r1.apk | 442.6 KiB | 2024-Oct-25 23:07 |
qflipper-gui-1.3.3-r1.apk | 1.0 MiB | 2024-Oct-25 23:07 |
qoi-0.0.0_git20230312-r0.apk | 1.5 KiB | 2024-Oct-25 23:07 |
qoi-dev-0.0.0_git20230312-r0.apk | 6.8 KiB | 2024-Oct-25 23:07 |
qoiconv-0.0.0_git20230312-r0.apk | 28.0 KiB | 2024-Oct-25 23:07 |
qpdfview-0.5-r2.apk | 985.4 KiB | 2025-Jan-29 22:00 |
qpdfview-doc-0.5-r2.apk | 4.2 KiB | 2025-Jan-29 22:00 |
qperf-0.4.11-r2.apk | 32.8 KiB | 2025-May-15 00:14 |
qperf-doc-0.4.11-r2.apk | 5.6 KiB | 2025-May-15 00:14 |
qqc2-suru-style-0.20230206-r1.apk | 171.2 KiB | 2024-Oct-25 23:07 |
qsstv-9.5.8-r2.apk | 870.8 KiB | 2024-Oct-25 23:07 |
qstardict-2.0.2-r1.apk | 437.9 KiB | 2024-Nov-24 06:04 |
qstardict-doc-2.0.2-r1.apk | 10.6 KiB | 2024-Nov-24 06:04 |
qsynth-1.0.2-r0.apk | 417.5 KiB | 2024-Oct-25 23:07 |
qsynth-doc-1.0.2-r0.apk | 4.4 KiB | 2024-Oct-25 23:07 |
qt5ct-1.8-r0.apk | 218.0 KiB | 2024-Oct-25 23:07 |
qt5ct-dev-1.8-r0.apk | 1.5 KiB | 2024-Oct-25 23:07 |
qt6ct-0.9-r4.apk | 189.4 KiB | 2025-Jul-09 10:14 |
qtile-0.30.0-r0.apk | 435.8 KiB | 2025-Feb-11 00:13 |
qtile-pyc-0.30.0-r0.apk | 837.4 KiB | 2025-Feb-11 00:13 |
qtpass-1.4.0-r0.apk | 417.2 KiB | 2024-Oct-25 23:07 |
qtpass-doc-1.4.0-r0.apk | 2.1 KiB | 2024-Oct-25 23:07 |
quakespasm-0.96.3-r0.apk | 465.5 KiB | 2024-Oct-25 23:07 |
qucs-s-1.1.0-r1.apk | 3.2 MiB | 2024-Oct-25 23:07 |
qucs-s-doc-1.1.0-r1.apk | 2.3 KiB | 2024-Oct-25 23:07 |
qucs-s-lang-1.1.0-r1.apk | 864.5 KiB | 2024-Oct-25 23:07 |
queercat-1.0.0-r0.apk | 8.0 KiB | 2024-Oct-25 23:07 |
quickjs-ng-0.10.1-r0.apk | 75.9 KiB | 2025-May-16 20:22 |
quickjs-ng-dev-0.10.1-r0.apk | 14.3 KiB | 2025-May-16 20:22 |
quickjs-ng-doc-0.10.1-r0.apk | 6.3 KiB | 2025-May-16 20:22 |
quickjs-ng-libs-0.10.1-r0.apk | 315.1 KiB | 2025-May-16 20:22 |
quodlibet-4.6.0-r2.apk | 1.0 MiB | 2025-May-15 00:14 |
quodlibet-bash-completion-4.6.0-r2.apk | 4.7 KiB | 2025-May-15 00:14 |
quodlibet-doc-4.6.0-r2.apk | 8.7 KiB | 2025-May-15 00:14 |
quodlibet-lang-4.6.0-r2.apk | 1.2 MiB | 2025-May-15 00:14 |
quodlibet-pyc-4.6.0-r2.apk | 1.8 MiB | 2025-May-15 00:14 |
quodlibet-zsh-completion-4.6.0-r2.apk | 2.7 KiB | 2025-May-15 00:14 |
raku-base64-0.1.0-r0.apk | 20.1 KiB | 2025-Jun-07 10:43 |
raku-base64-doc-0.1.0-r0.apk | 2.8 KiB | 2025-Jun-07 10:43 |
raku-cbor-simple-0.1.4-r0.apk | 172.9 KiB | 2025-Jun-07 10:43 |
raku-cbor-simple-doc-0.1.4-r0.apk | 7.0 KiB | 2025-Jun-07 10:43 |
raku-clifford-7.0.1_git20250228-r0.apk | 691.3 KiB | 2025-Jul-24 04:27 |
raku-clifford-doc-7.0.1_git20250228-r0.apk | 2.9 KiB | 2025-Jul-24 04:27 |
raku-cro-core-0.8.10-r0.apk | 690.9 KiB | 2025-Jun-07 10:43 |
raku-cro-core-doc-0.8.10-r0.apk | 2.4 KiB | 2025-Jun-07 10:43 |
raku-crypt-random-0.4.1-r0.apk | 109.1 KiB | 2025-Jun-07 10:43 |
raku-crypt-random-doc-0.4.1-r0.apk | 2.5 KiB | 2025-Jun-07 10:43 |
raku-datetime-parse-0.9.3-r0.apk | 48.4 KiB | 2025-Jun-07 10:43 |
raku-datetime-parse-doc-0.9.3-r0.apk | 3.0 KiB | 2025-Jun-07 10:43 |
raku-digest-1.1.0-r0.apk | 862.5 KiB | 2025-Jun-07 10:43 |
raku-digest-doc-1.1.0-r0.apk | 2.8 KiB | 2025-Jun-07 10:43 |
raku-digest-hmac-1.0.6_git20231116-r0.apk | 7.2 KiB | 2025-Jun-07 10:43 |
raku-digest-hmac-doc-1.0.6_git20231116-r0.apk | 3.3 KiB | 2025-Jun-07 10:43 |
raku-digest-sha1-native-0.06-r0.apk | 46.5 KiB | 2025-Jun-08 19:14 |
raku-digest-sha1-native-doc-0.06-r0.apk | 2.4 KiB | 2025-Jun-08 19:14 |
raku-docker-file-1.1-r0.apk | 131.0 KiB | 2025-Jun-07 10:43 |
raku-docker-file-doc-1.1-r0.apk | 2.5 KiB | 2025-Jun-07 10:43 |
raku-file-find-0.2.1-r0.apk | 17.0 KiB | 2025-Jun-08 19:14 |
raku-file-find-doc-0.2.1-r0.apk | 4.2 KiB | 2025-Jun-08 19:14 |
raku-file-ignore-1.2-r0.apk | 46.4 KiB | 2025-Jun-08 19:14 |
raku-file-ignore-doc-1.2-r0.apk | 4.2 KiB | 2025-Jun-08 19:14 |
raku-file-which-1.0.4-r0.apk | 105.6 KiB | 2025-Jun-08 19:14 |
raku-file-which-doc-1.0.4-r0.apk | 3.6 KiB | 2025-Jun-08 19:14 |
raku-functionalparsers-0.1.10-r0.apk | 1.8 MiB | 2025-Jul-24 04:27 |
raku-functionalparsers-doc-0.1.10-r0.apk | 8.8 KiB | 2025-Jul-24 04:27 |
raku-html-escape-0.0.1-r0.apk | 12.0 KiB | 2025-Jul-24 04:27 |
raku-html-escape-doc-0.0.1-r0.apk | 2.5 KiB | 2025-Jul-24 04:27 |
raku-http-hpack-1.0.3-r0.apk | 87.9 KiB | 2025-Jun-07 10:43 |
raku-http-hpack-doc-1.0.3-r0.apk | 3.2 KiB | 2025-Jun-07 10:43 |
raku-if-0.1.3-r0.apk | 27.9 KiB | 2025-Jun-07 10:43 |
raku-if-doc-0.1.3-r0.apk | 2.6 KiB | 2025-Jun-07 10:43 |
raku-io-path-childsecure-1.2-r0.apk | 10.5 KiB | 2025-Jun-07 10:43 |
raku-io-path-childsecure-doc-1.2-r0.apk | 2.9 KiB | 2025-Jun-07 10:43 |
raku-json-class-0.0.21-r0.apk | 47.9 KiB | 2025-Jun-07 10:43 |
raku-json-class-doc-0.0.21-r0.apk | 3.3 KiB | 2025-Jun-07 10:43 |
raku-json-marshal-0.0.25-r0.apk | 70.8 KiB | 2025-Jun-07 10:43 |
raku-json-marshal-doc-0.0.25-r0.apk | 3.7 KiB | 2025-Jun-07 10:43 |
raku-json-name-0.0.7-r0.apk | 36.7 KiB | 2025-Jun-07 10:43 |
raku-json-name-doc-0.0.7-r0.apk | 2.8 KiB | 2025-Jun-07 10:43 |
raku-json-optin-0.0.2-r0.apk | 22.1 KiB | 2025-Jun-07 10:43 |
raku-json-optin-doc-0.0.2-r0.apk | 2.7 KiB | 2025-Jun-07 10:43 |
raku-json-unmarshal-0.18-r0.apk | 72.0 KiB | 2025-Jun-07 10:43 |
raku-json-unmarshal-doc-0.18-r0.apk | 3.3 KiB | 2025-Jun-07 10:43 |
raku-librarymake-1.0.5-r0.apk | 37.4 KiB | 2025-Jun-08 19:14 |
raku-librarymake-doc-1.0.5-r0.apk | 4.5 KiB | 2025-Jun-08 19:14 |
raku-log-timeline-0.5.2-r0.apk | 278.1 KiB | 2025-Jun-07 10:43 |
raku-log-timeline-doc-0.5.2-r0.apk | 5.4 KiB | 2025-Jun-07 10:43 |
raku-meta6-0.0.30-r0.apk | 108.3 KiB | 2025-Jun-07 10:43 |
raku-meta6-doc-0.0.30-r0.apk | 3.2 KiB | 2025-Jun-07 10:43 |
raku-monad-0.1.2-r0.apk | 3.6 MiB | 2025-Jul-24 04:27 |
raku-monad-doc-0.1.2-r0.apk | 3.4 KiB | 2025-Jul-24 04:27 |
raku-nativelibs-0.0.9-r0.apk | 85.9 KiB | 2025-Jul-24 04:27 |
raku-nativelibs-doc-0.0.9-r0.apk | 2.6 KiB | 2025-Jul-24 04:27 |
raku-oo-monitors-1.1.5-r0.apk | 13.0 KiB | 2025-Jun-07 10:43 |
raku-oo-monitors-doc-1.1.5-r0.apk | 2.9 KiB | 2025-Jun-07 10:43 |
raku-protocol-mqtt-0.0.4-r0.apk | 223.4 KiB | 2025-Jun-08 19:14 |
raku-protocol-mqtt-doc-0.0.4-r0.apk | 2.3 KiB | 2025-Jun-08 19:14 |
raku-shell-command-1.1-r0.apk | 40.1 KiB | 2025-Jun-08 19:14 |
raku-shell-command-doc-1.1-r0.apk | 3.5 KiB | 2025-Jun-08 19:14 |
raku-terminal-quickcharts-0.0.2-r0.apk | 214.0 KiB | 2025-Jun-08 19:14 |
raku-terminal-quickcharts-doc-0.0.2-r0.apk | 3.1 KiB | 2025-Jun-08 19:14 |
raku-text-markdown-1.1.1_git20221121-r0.apk | 103.9 KiB | 2025-Jul-24 04:27 |
raku-text-markdown-doc-1.1.1_git20221121-r0.apk | 3.5 KiB | 2025-Jul-24 04:27 |
raku-time-crontab-1.0.0-r0.apk | 121.7 KiB | 2025-Jul-24 04:27 |
raku-time-crontab-doc-1.0.0-r0.apk | 3.4 KiB | 2025-Jul-24 04:27 |
raku-tinyfloats-0.0.5-r0.apk | 34.7 KiB | 2025-Jun-07 10:43 |
raku-tinyfloats-doc-0.0.5-r0.apk | 4.1 KiB | 2025-Jun-07 10:43 |
randrctl-1.10.0-r0.apk | 27.9 KiB | 2024-Nov-20 01:34 |
randrctl-pyc-1.10.0-r0.apk | 31.2 KiB | 2024-Nov-20 01:34 |
rankwidth-0.9-r3.apk | 5.1 KiB | 2024-Oct-25 23:07 |
rankwidth-dev-0.9-r3.apk | 2.9 KiB | 2024-Oct-25 23:07 |
rankwidth-doc-0.9-r3.apk | 3.0 KiB | 2024-Oct-25 23:07 |
rankwidth-libs-0.9-r3.apk | 4.8 KiB | 2024-Oct-25 23:07 |
rankwidth-static-0.9-r3.apk | 4.0 KiB | 2024-Oct-25 23:07 |
raspberrypi-usbboot-20250227-r0.apk | 886.5 KiB | 2025-Jun-09 11:39 |
raspi2png-0.0.20190727-r0.apk | 6.2 KiB | 2024-Oct-25 23:07 |
rathole-0.5.0-r0.apk | 1.4 MiB | 2024-Oct-25 23:07 |
rattler-build-0.18.0-r0.apk | 6.0 MiB | 2024-Oct-25 23:07 |
rattler-build-bash-completion-0.18.0-r0.apk | 3.6 KiB | 2024-Oct-25 23:07 |
rattler-build-doc-0.18.0-r0.apk | 6.7 KiB | 2024-Oct-25 23:07 |
rattler-build-fish-completion-0.18.0-r0.apk | 4.7 KiB | 2024-Oct-25 23:07 |
rattler-build-zsh-completion-0.18.0-r0.apk | 5.4 KiB | 2024-Oct-25 23:07 |
rauc-1.10.1-r0.apk | 151.6 KiB | 2024-Oct-25 23:07 |
rauc-doc-1.10.1-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
rauc-service-1.10.1-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
razercfg-0.42-r7.apk | 71.8 KiB | 2024-Oct-25 23:07 |
razercfg-gui-0.42-r7.apk | 19.0 KiB | 2024-Oct-25 23:07 |
razercfg-openrc-0.42-r7.apk | 1.7 KiB | 2024-Oct-25 23:07 |
razercfg-pyc-0.42-r7.apk | 35.6 KiB | 2024-Oct-25 23:07 |
rclone-browser-1.8.0-r1.apk | 318.6 KiB | 2024-Oct-25 23:07 |
rdedup-3.2.1-r5.apk | 831.0 KiB | 2024-Oct-25 23:07 |
rdrview-0.1.3-r0.apk | 25.9 KiB | 2025-Feb-22 22:23 |
rdrview-doc-0.1.3-r0.apk | 3.7 KiB | 2025-Feb-22 22:23 |
reaction-2.1.2-r0.apk | 1.4 MiB | 2025-Jul-15 11:40 |
reaction-openrc-2.1.2-r0.apk | 1.8 KiB | 2025-Jul-15 11:40 |
reaction-tools-2.1.2-r0.apk | 4.5 KiB | 2025-Jul-15 11:40 |
readosm-1.1.0-r3.apk | 14.8 KiB | 2025-May-15 00:14 |
readosm-dev-1.1.0-r3.apk | 19.3 KiB | 2025-May-15 00:14 |
reason-3.8.2-r1.apk | 18.4 MiB | 2024-Oct-25 23:07 |
reason-rtop-3.8.2-r1.apk | 24.3 MiB | 2024-Oct-25 23:07 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 371.3 KiB | 2024-Oct-25 23:07 |
recoll-1.37.5-r1.apk | 2.7 MiB | 2024-Oct-25 23:07 |
recoll-dev-1.37.5-r1.apk | 53.1 KiB | 2024-Oct-25 23:07 |
recoll-doc-1.37.5-r1.apk | 21.1 KiB | 2024-Oct-25 23:07 |
redhat-fonts-4.1.0-r0.apk | 809.0 KiB | 2025-Mar-19 14:44 |
refine-0.5.10-r0.apk | 33.4 KiB | 2025-Jul-02 16:21 |
refine-lang-0.5.10-r0.apk | 32.9 KiB | 2025-Jul-02 16:21 |
reflex-20241231-r0.apk | 68.0 KiB | 2025-Jun-21 10:06 |
reflex-dev-20241231-r0.apk | 4.6 KiB | 2025-Jun-21 10:06 |
reflex-doc-20241231-r0.apk | 36.6 KiB | 2025-Jun-21 10:06 |
reg-0.16.1-r29.apk | 4.5 MiB | 2025-Jul-10 05:28 |
regal-0.33.1-r1.apk | 11.5 MiB | 2025-Jul-10 05:28 |
regal-bash-completion-0.33.1-r1.apk | 6.1 KiB | 2025-Jul-10 05:28 |
regal-fish-completion-0.33.1-r1.apk | 4.3 KiB | 2025-Jul-10 05:28 |
regal-zsh-completion-0.33.1-r1.apk | 4.0 KiB | 2025-Jul-10 05:28 |
regclient-0.8.3-r1.apk | 13.2 MiB | 2025-Jul-10 05:28 |
remake-1.5-r1.apk | 137.2 KiB | 2024-Oct-25 23:07 |
remake-dev-1.5-r1.apk | 2.9 KiB | 2024-Oct-25 23:07 |
remake-doc-1.5-r1.apk | 201.7 KiB | 2024-Oct-25 23:07 |
remake-make-1.5-r1.apk | 1.5 KiB | 2024-Oct-25 23:07 |
remco-0.12.5-r1.apk | 9.4 MiB | 2025-Jul-10 05:28 |
remco-doc-0.12.5-r1.apk | 2.3 KiB | 2025-Jul-10 05:28 |
remco-openrc-0.12.5-r1.apk | 1.7 KiB | 2025-Jul-10 05:28 |
remind-caldav-0.8.0-r4.apk | 17.7 KiB | 2024-Oct-25 23:07 |
remind-caldav-pyc-0.8.0-r4.apk | 6.1 KiB | 2024-Oct-25 23:07 |
repgrep-0.15.0-r0.apk | 1.2 MiB | 2024-Oct-25 23:07 |
repgrep-bash-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 23:07 |
repgrep-doc-0.15.0-r0.apk | 6.5 KiB | 2024-Oct-25 23:07 |
repgrep-fish-completion-0.15.0-r0.apk | 4.1 KiB | 2024-Oct-25 23:07 |
repgrep-zsh-completion-0.15.0-r0.apk | 1.6 KiB | 2024-Oct-25 23:07 |
repo-2.53-r0.apk | 16.8 KiB | 2025-Mar-19 20:51 |
repo-doc-2.53-r0.apk | 38.5 KiB | 2025-Mar-19 20:51 |
repowerd-2023.07-r3.apk | 838.2 KiB | 2025-Feb-17 15:07 |
repowerd-openrc-2023.07-r3.apk | 1.7 KiB | 2025-Feb-17 15:07 |
reprotest-0.7.29-r0.apk | 80.2 KiB | 2024-Dec-23 07:07 |
reprotest-pyc-0.7.29-r0.apk | 102.9 KiB | 2024-Dec-23 07:07 |
reredirect-0.3-r0.apk | 7.7 KiB | 2024-Oct-25 23:07 |
reredirect-doc-0.3-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
resources-1.8.0-r1.apk | 2.4 MiB | 2025-May-15 00:14 |
resources-lang-1.8.0-r1.apk | 125.6 KiB | 2025-May-15 00:14 |
responder-3.1.5.0-r0.apk | 750.0 KiB | 2024-Oct-25 23:07 |
restart-services-0.17.0-r0.apk | 11.5 KiB | 2024-Oct-25 23:07 |
restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2024-Oct-25 23:07 |
restic.mk-0.4.0-r0.apk | 2.8 KiB | 2024-Nov-21 06:03 |
restinio-0.6.19-r1.apk | 1.2 KiB | 2024-Dec-14 21:56 |
restinio-dev-0.6.19-r1.apk | 267.8 KiB | 2024-Dec-14 21:56 |
rezolus-2.11.1-r3.apk | 887.8 KiB | 2024-Oct-25 23:07 |
rezolus-doc-2.11.1-r3.apk | 3.4 KiB | 2024-Oct-25 23:07 |
rezolus-openrc-2.11.1-r3.apk | 2.1 KiB | 2024-Oct-25 23:07 |
rgxg-0.1.2-r2.apk | 14.5 KiB | 2024-Oct-25 23:07 |
rgxg-dev-0.1.2-r2.apk | 3.5 KiB | 2024-Oct-25 23:07 |
rgxg-doc-0.1.2-r2.apk | 12.3 KiB | 2024-Oct-25 23:07 |
rhasspy-nlu-0.4.0-r3.apk | 43.6 KiB | 2024-Oct-25 23:07 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 72.9 KiB | 2024-Oct-25 23:07 |
riemann-cli-0.8.0-r2.apk | 530.5 KiB | 2024-Oct-25 23:07 |
rinetd-0.73-r0.apk | 13.6 KiB | 2024-Oct-25 23:07 |
rinetd-doc-0.73-r0.apk | 16.4 KiB | 2024-Oct-25 23:07 |
rinetd-openrc-0.73-r0.apk | 1.7 KiB | 2024-Oct-25 23:07 |
river-luatile-0.1.4-r0.apk | 260.8 KiB | 2025-May-19 15:03 |
river-shifttags-0.2.1-r1.apk | 6.1 KiB | 2025-May-15 00:14 |
river-shifttags-doc-0.2.1-r1.apk | 2.4 KiB | 2025-May-15 00:14 |
rizin-0.8.1-r0.apk | 2.7 MiB | 2025-Jun-30 19:48 |
rizin-dev-0.8.1-r0.apk | 322.6 KiB | 2025-Jun-30 19:48 |
rizin-doc-0.8.1-r0.apk | 19.3 KiB | 2025-Jun-30 19:48 |
rizin-libs-0.8.1-r0.apk | 5.1 MiB | 2025-Jun-30 19:48 |
rkdeveloptool-1.1.0-r1.apk | 58.3 KiB | 2024-Oct-25 23:07 |
rkdeveloptool-doc-1.1.0-r1.apk | 3.0 KiB | 2024-Oct-25 23:07 |
rke-1.4.3-r16.apk | 19.6 MiB | 2025-Jul-10 05:28 |
rke-doc-1.4.3-r16.apk | 3.0 KiB | 2025-Jul-10 05:28 |
rmlint-2.10.2-r2.apk | 140.9 KiB | 2024-Oct-25 23:07 |
rmlint-doc-2.10.2-r2.apk | 17.8 KiB | 2024-Oct-25 23:07 |
rmlint-lang-2.10.2-r2.apk | 18.9 KiB | 2024-Oct-25 23:07 |
rmlint-shredder-2.10.2-r2.apk | 96.0 KiB | 2024-Oct-25 23:07 |
rmlint-shredder-pyc-2.10.2-r2.apk | 124.5 KiB | 2024-Oct-25 23:07 |
rofi-json-menu-0.2.0-r1.apk | 4.9 KiB | 2024-Oct-25 23:07 |
rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2024-Oct-25 23:07 |
rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2024-Oct-25 23:07 |
roll-2.6.1-r0.apk | 12.3 KiB | 2025-May-27 02:03 |
roll-bash-completion-2.6.1-r0.apk | 1.9 KiB | 2025-May-27 02:03 |
roll-doc-2.6.1-r0.apk | 11.3 KiB | 2025-May-27 02:03 |
rosdep-0.19.0-r6.apk | 66.1 KiB | 2024-Oct-25 23:07 |
rosdep-pyc-0.19.0-r6.apk | 119.0 KiB | 2024-Oct-25 23:07 |
rpg-cli-1.2.0-r0.apk | 604.7 KiB | 2024-Oct-25 23:07 |
rpicam-apps-1.5.3-r1.apk | 419.9 KiB | 2025-Jun-26 11:15 |
rpicam-apps-doc-1.5.3-r1.apk | 2.4 KiB | 2025-Jun-26 11:15 |
rss-email-0.5.0-r0.apk | 2.0 MiB | 2024-Oct-25 23:07 |
rss-email-doc-0.5.0-r0.apk | 6.4 KiB | 2024-Oct-25 23:07 |
rsstail-2.2-r0.apk | 8.4 KiB | 2025-Feb-13 10:17 |
rsstail-doc-2.2-r0.apk | 2.8 KiB | 2025-Feb-13 10:17 |
rt5-5.0.8-r0.apk | 16.9 MiB | 2025-Jun-15 07:44 |
rt6-6.0.0-r0.apk | 12.3 MiB | 2025-Jun-21 18:39 |
rtaudio-6.0.1-r0.apk | 38.0 KiB | 2025-Apr-18 01:52 |
rtaudio-dev-6.0.1-r0.apk | 65.5 KiB | 2025-Apr-18 01:52 |
rtaudio-doc-6.0.1-r0.apk | 194.0 KiB | 2025-Apr-18 01:52 |
rtl-power-fftw-20200601-r4.apk | 59.7 KiB | 2024-Oct-25 23:07 |
rtl-power-fftw-doc-20200601-r4.apk | 8.2 KiB | 2024-Oct-25 23:07 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2024-Oct-25 23:07 |
rtmidi-6.0.0-r0.apk | 28.7 KiB | 2024-Oct-25 23:07 |
rtmidi-dev-6.0.0-r0.apk | 14.1 KiB | 2024-Oct-25 23:07 |
rtptools-1.22-r2.apk | 39.9 KiB | 2024-Oct-25 23:07 |
rtptools-doc-1.22-r2.apk | 12.6 KiB | 2024-Oct-25 23:07 |
rtw89-src-7_p20230725-r0.apk | 758.7 KiB | 2024-Oct-25 23:07 |
ruby-ansi-1.5.0-r0.apk | 25.9 KiB | 2025-Jul-06 21:24 |
ruby-appraisal-2.5.0-r0.apk | 10.9 KiB | 2025-May-26 02:52 |
ruby-appraisal-doc-2.5.0-r0.apk | 2.3 KiB | 2025-May-26 02:52 |
ruby-benchmark-ips-2.14.0-r0.apk | 14.3 KiB | 2025-May-26 02:54 |
ruby-benchmark-ips-doc-2.14.0-r0.apk | 2.3 KiB | 2025-May-26 02:54 |
ruby-build-20250507-r0.apk | 91.4 KiB | 2025-May-15 16:21 |
ruby-build-doc-20250507-r0.apk | 4.8 KiB | 2025-May-15 16:21 |
ruby-build-runtime-20250507-r0.apk | 1.3 KiB | 2025-May-15 16:21 |
ruby-byebug-11.1.3-r0.apk | 121.5 KiB | 2025-May-26 02:55 |
ruby-coderay-1.1.3-r0.apk | 89.1 KiB | 2025-May-26 02:56 |
ruby-coderay-doc-1.1.3-r0.apk | 2.3 KiB | 2025-May-26 02:56 |
ruby-dry-inflector-1.1.0-r1.apk | 8.2 KiB | 2025-Apr-23 23:24 |
ruby-dry-inflector-doc-1.1.0-r1.apk | 2.3 KiB | 2025-Apr-23 23:24 |
ruby-event_emitter-0.2.6-r0.apk | 3.1 KiB | 2025-May-26 03:00 |
ruby-event_emitter-doc-0.2.6-r0.apk | 2.3 KiB | 2025-May-26 03:00 |
ruby-facter-4.9.0-r1.apk | 218.2 KiB | 2025-Apr-23 23:24 |
ruby-fast_gettext-3.1.0-r0.apk | 22.1 KiB | 2025-May-26 02:58 |
ruby-hashdiff-1.1.1-r1.apk | 8.4 KiB | 2025-Apr-23 23:24 |
ruby-hashdiff-doc-1.1.1-r1.apk | 2.2 KiB | 2025-Apr-23 23:24 |
ruby-hoe-4.2.2-r0.apk | 27.6 KiB | 2025-Jul-06 21:24 |
ruby-libguestfs-1.56.1-r0.apk | 106.7 KiB | 2025-Jul-24 04:27 |
ruby-minitest-autotest-1.1.1-r0.apk | 14.2 KiB | 2025-Jul-06 21:24 |
ruby-minitest-focus-1.3.1-r0.apk | 5.2 KiB | 2025-Jul-06 21:24 |
ruby-minitest-power_assert-0.3.1-r0.apk | 2.2 KiB | 2025-Jul-06 21:24 |
ruby-minitest-proveit-1.0.0-r0.apk | 4.8 KiB | 2025-Jul-06 21:24 |
ruby-minitest-reporters-1.7.1-r0.apk | 20.1 KiB | 2025-Jul-06 21:24 |
ruby-minitest-server-1.0.8-r0.apk | 5.4 KiB | 2025-Jul-06 21:24 |
ruby-path_expander-1.1.3-r0.apk | 6.4 KiB | 2025-Jul-06 21:24 |
ruby-ruby-progressbar-1.13.0-r0.apk | 24.9 KiB | 2025-Jul-06 21:24 |
ruff-lsp-0.0.62-r0.apk | 21.3 KiB | 2025-Mar-07 01:31 |
ruff-lsp-pyc-0.0.62-r0.apk | 35.0 KiB | 2025-Mar-07 01:31 |
runst-0.1.7-r0.apk | 1.6 MiB | 2024-Oct-25 23:07 |
runst-doc-0.1.7-r0.apk | 7.7 KiB | 2024-Oct-25 23:07 |
ruri-3.8-r0.apk | 108.6 KiB | 2025-Jun-24 05:43 |
ruri-doc-3.8-r0.apk | 2.2 KiB | 2025-Jun-24 05:43 |
rustdesk-server-1.1.10.3-r0.apk | 2.4 MiB | 2024-Oct-25 23:07 |
rustdesk-server-openrc-1.1.10.3-r0.apk | 2.2 KiB | 2024-Oct-25 23:07 |
rustic-0.9.3-r0.apk | 6.2 MiB | 2024-Oct-25 23:07 |
rustic-bash-completion-0.9.3-r0.apk | 8.6 KiB | 2024-Oct-25 23:07 |
rustic-fish-completion-0.9.3-r0.apk | 16.7 KiB | 2024-Oct-25 23:07 |
rustic-zsh-completion-0.9.3-r0.apk | 13.0 KiB | 2024-Oct-25 23:07 |
rustical-0.6.5-r0.apk | 4.5 MiB | 2025-Jul-24 04:27 |
ruuvi-prometheus-0.1.9-r3.apk | 3.3 MiB | 2025-Jul-10 05:28 |
ruuvi-prometheus-openrc-0.1.9-r3.apk | 1.7 KiB | 2025-Jul-10 05:28 |
rvlprog-0.91-r2.apk | 24.9 KiB | 2024-Oct-25 23:07 |
ry-0.5.2-r1.apk | 4.6 KiB | 2024-Oct-25 23:07 |
ry-bash-completion-0.5.2-r1.apk | 2.0 KiB | 2024-Oct-25 23:07 |
ry-zsh-completion-0.5.2-r1.apk | 2.3 KiB | 2024-Oct-25 23:07 |
rygel-0.44.2-r0.apk | 743.7 KiB | 2025-Mar-19 15:51 |
rygel-dev-0.44.2-r0.apk | 42.6 KiB | 2025-Mar-19 15:51 |
rygel-doc-0.44.2-r0.apk | 9.6 KiB | 2025-Mar-19 15:51 |
rygel-lang-0.44.2-r0.apk | 567.2 KiB | 2025-Mar-19 15:51 |
s-dkim-sign-0.6.2-r0.apk | 52.3 KiB | 2024-Oct-25 23:07 |
s-dkim-sign-doc-0.6.2-r0.apk | 8.5 KiB | 2024-Oct-25 23:07 |
s-postgray-0.8.3-r0.apk | 42.7 KiB | 2024-Oct-25 23:07 |
s-postgray-doc-0.8.3-r0.apk | 9.6 KiB | 2024-Oct-25 23:07 |
s5cmd-2.3.0-r4.apk | 5.1 MiB | 2025-Jul-10 05:28 |
saait-0.8-r0.apk | 7.1 KiB | 2024-Oct-25 23:07 |
saait-doc-0.8-r0.apk | 12.7 KiB | 2024-Oct-25 23:07 |
sacc-1.07-r0.apk | 14.9 KiB | 2024-Oct-25 23:07 |
sacc-doc-1.07-r0.apk | 2.8 KiB | 2024-Oct-25 23:07 |
sandbar-0.1-r1.apk | 14.5 KiB | 2025-May-15 00:14 |
satellite-1.0.0-r29.apk | 2.2 MiB | 2025-Jul-10 05:28 |
satellite-doc-1.0.0-r29.apk | 3.0 KiB | 2025-Jul-10 05:28 |
satellite-openrc-1.0.0-r29.apk | 1.9 KiB | 2025-Jul-10 05:28 |
sauerbraten-2020.12.29-r4.apk | 933.7 MiB | 2025-Feb-20 21:49 |
sbase-0_git20210730-r3.apk | 120.1 KiB | 2024-Oct-25 23:07 |
sbase-doc-0_git20210730-r3.apk | 58.2 KiB | 2024-Oct-25 23:07 |
sblg-0.5.11-r0.apk | 36.5 KiB | 2024-Oct-25 23:07 |
sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2024-Oct-25 23:07 |
sblim-sfcc-2.2.8-r3.apk | 51.2 KiB | 2024-Oct-25 23:07 |
sblim-sfcc-dev-2.2.8-r3.apk | 22.3 KiB | 2024-Oct-25 23:07 |
sblim-sfcc-doc-2.2.8-r3.apk | 34.6 KiB | 2024-Oct-25 23:07 |
sblim-wbemcli-1.6.3-r1.apk | 95.0 KiB | 2024-Oct-25 23:07 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4.5 KiB | 2024-Oct-25 23:07 |
sc-controller-0.5.1-r0.apk | 1.3 MiB | 2025-Mar-27 01:43 |
sc-controller-pyc-0.5.1-r0.apk | 813.4 KiB | 2025-Mar-27 01:43 |
sc3-plugins-3.13.0-r2.apk | 9.4 MiB | 2025-Feb-09 02:43 |
scalingo-1.30.0-r11.apk | 5.2 MiB | 2025-Jul-10 05:28 |
schismtracker-20231029-r0.apk | 377.5 KiB | 2024-Oct-25 23:07 |
schismtracker-doc-20231029-r0.apk | 6.2 KiB | 2024-Oct-25 23:07 |
scooper-1.3-r1.apk | 474.3 KiB | 2024-Oct-25 23:07 |
scooper-doc-1.3-r1.apk | 2.6 KiB | 2024-Oct-25 23:07 |
screen-message-0.29-r0.apk | 9.9 KiB | 2025-Apr-13 14:54 |
screen-message-doc-0.29-r0.apk | 3.6 KiB | 2025-Apr-13 14:54 |
screenkey-1.5-r7.apk | 76.4 KiB | 2025-Jul-24 04:27 |
screenkey-doc-1.5-r7.apk | 11.0 KiB | 2025-Jul-24 04:27 |
screenkey-pyc-1.5-r7.apk | 73.1 KiB | 2025-Jul-24 04:27 |
sct-2018.12.18-r1.apk | 3.5 KiB | 2024-Oct-25 23:07 |
sdl3_image-3.2.4-r0.apk | 74.4 KiB | 2025-Mar-24 23:22 |
sdl3_image-dev-3.2.4-r0.apk | 12.0 KiB | 2025-Mar-24 23:22 |
sdl3_image-doc-3.2.4-r0.apk | 2.1 KiB | 2025-Mar-24 23:22 |
sdparm-1.12-r1.apk | 142.8 KiB | 2024-Oct-25 23:07 |
sdparm-doc-1.12-r1.apk | 19.3 KiB | 2024-Oct-25 23:07 |
seaweedfs-3.93-r0.apk | 25.6 MiB | 2025-Jul-15 11:22 |
seaweedfs-doc-3.93-r0.apk | 13.8 KiB | 2025-Jul-15 11:22 |
seaweedfs-openrc-3.93-r0.apk | 1.9 KiB | 2025-Jul-15 11:22 |
secsipidx-1.3.2-r13.apk | 2.7 MiB | 2025-Jul-10 05:28 |
secsipidx-dev-1.3.2-r13.apk | 5.0 MiB | 2025-Jul-10 05:28 |
secsipidx-libs-1.3.2-r13.apk | 2.4 MiB | 2025-Jul-10 05:28 |
sedutil-1.15.1-r1.apk | 185.8 KiB | 2024-Oct-25 23:07 |
sedutil-doc-1.15.1-r1.apk | 3.1 KiB | 2024-Oct-25 23:07 |
sentinel-minipot-2.3.0-r1.apk | 43.5 KiB | 2024-Oct-25 23:07 |
sentinel-minipot-openrc-2.3.0-r1.apk | 2.6 KiB | 2024-Oct-25 23:07 |
sentinel-proxy-2.1.0-r1.apk | 39.3 KiB | 2025-Jun-13 17:20 |
sentinel-proxy-dev-2.1.0-r1.apk | 4.4 KiB | 2025-Jun-13 17:20 |
sentinel-proxy-openrc-2.1.0-r1.apk | 2.2 KiB | 2025-Jun-13 17:20 |
serialdv-1.1.4-r1.apk | 7.2 KiB | 2025-Feb-09 02:43 |
serialdv-dev-1.1.4-r1.apk | 5.1 KiB | 2025-Feb-09 02:43 |
serialdv-libs-1.1.4-r1.apk | 59.3 KiB | 2025-Feb-09 02:43 |
serie-0.4.6-r0.apk | 838.2 KiB | 2025-May-05 11:10 |
serie-doc-0.4.6-r0.apk | 6.6 KiB | 2025-May-05 11:10 |
setroot-2.0.2-r1.apk | 11.2 KiB | 2024-Oct-25 23:07 |
setroot-doc-2.0.2-r1.apk | 4.4 KiB | 2024-Oct-25 23:07 |
sfizz-1.2.3-r0.apk | 1.6 MiB | 2025-May-16 22:18 |
sfizz-dev-1.2.3-r0.apk | 14.7 KiB | 2025-May-16 22:18 |
sfizz-doc-1.2.3-r0.apk | 3.0 KiB | 2025-May-16 22:18 |
sflowtool-6.02-r0.apk | 44.0 KiB | 2024-Oct-25 23:07 |
sflowtool-doc-6.02-r0.apk | 9.3 KiB | 2024-Oct-25 23:07 |
sfwbar-1.0_beta16-r1.apk | 264.8 KiB | 2024-Dec-12 22:02 |
sfwbar-doc-1.0_beta16-r1.apk | 26.4 KiB | 2024-Dec-12 22:02 |
sgt-puzzles-0_git20230310-r2.apk | 2.7 MiB | 2024-Oct-25 23:07 |
shc-4.0.3-r2.apk | 18.6 KiB | 2024-Oct-25 23:07 |
shellinabox-2.21-r3.apk | 120.9 KiB | 2024-Oct-25 23:07 |
shellinabox-doc-2.21-r3.apk | 19.4 KiB | 2024-Oct-25 23:07 |
shellinabox-openrc-2.21-r3.apk | 3.5 KiB | 2024-Oct-25 23:07 |
shfm-0.4.2-r1.apk | 4.0 KiB | 2024-Oct-25 23:07 |
shfm-doc-0.4.2-r1.apk | 6.1 KiB | 2024-Oct-25 23:07 |
shine-3.1.1-r0.apk | 54.7 KiB | 2024-Oct-25 23:07 |
shipments-0.3.0-r0.apk | 23.5 KiB | 2024-Oct-25 23:07 |
shntool-3.0.10-r5.apk | 58.5 KiB | 2025-Feb-25 13:17 |
shntool-doc-3.0.10-r5.apk | 10.3 KiB | 2025-Feb-25 13:17 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
sigma-0.23.1-r1.apk | 236.8 KiB | 2024-Oct-25 23:07 |
sigma-pyc-0.23.1-r1.apk | 340.0 KiB | 2024-Oct-25 23:07 |
sigrok-cli-0.7.2-r0.apk | 38.1 KiB | 2024-Oct-25 23:07 |
sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2024-Oct-25 23:07 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2024-Oct-25 23:07 |
silc-client-1.1.11-r18.apk | 797.7 KiB | 2025-Jun-30 10:14 |
silc-client-doc-1.1.11-r18.apk | 82.1 KiB | 2025-Jun-30 10:14 |
simgear-2024.1.1-r0.apk | 2.1 MiB | 2025-Mar-05 03:14 |
simgear-dev-2024.1.1-r0.apk | 404.1 KiB | 2025-Mar-05 03:14 |
simh-3.11.1-r1.apk | 2.8 MiB | 2024-Oct-25 23:07 |
simp1e-cursors-0_git20250312-r0.apk | 446.7 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-adw-0_git20250312-r0.apk | 477.8 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-adw-dark-0_git20250312-r0.apk | 482.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 485.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-adw-left-0_git20250312-r0.apk | 483.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-breeze-0_git20250312-r0.apk | 504.2 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 520.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-breeze-dark-left-0_git20250312-r0..> | 526.3 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-breeze-left-0_git20250312-r0.apk | 509.3 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-frappe-0_git20250312-r..> | 535.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-frappe-left-0_git20250..> | 547.5 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-latte-0_git20250312-r0..> | 527.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-latte-left-0_git202503..> | 537.3 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-macchiato-0_git2025031..> | 532.7 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-macchiato-left-0_git20..> | 544.4 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-mocha-0_git20250312-r0..> | 529.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-catppuccin-mocha-left-0_git202503..> | 539.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-dark-0_git20250312-r0.apk | 483.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-dark-left-0_git20250312-r0.apk | 489.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-doc-0_git20250312-r0.apk | 13.8 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 525.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-gruvbox-dark-left-0_git20250312-r..> | 536.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 517.1 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-gruvbox-light-left-0_git20250312-..> | 526.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-left-0_git20250312-r0.apk | 448.5 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-mix-dark-0_git20250312-r0.apk | 486.7 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 492.2 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-mix-light-0_git20250312-r0.apk | 449.5 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 451.4 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-nord-dark-0_git20250312-r0.apk | 535.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 548.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-nord-light-0_git20250312-r0.apk | 517.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-nord-light-left-0_git20250312-r0...> | 524.7 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-rose-pine-0_git20250312-r0.apk | 533.5 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 538.4 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-rose-pine-dawn-left-0_git20250312..> | 550.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 545.1 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 537.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-rose-pine-moon-left-0_git20250312..> | 550.2 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 523.7 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-solarized-dark-left-0_git20250312..> | 535.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-solarized-light-0_git20250312-r0...> | 531.1 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-solarized-light-left-0_git2025031..> | 542.0 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 529.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-tokyo-night-left-0_git20250312-r0..> | 540.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-tokyo-night-light-0_git20250312-r..> | 519.8 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-tokyo-night-light-left-0_git20250..> | 526.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-tokyo-night-storm-0_git20250312-r..> | 533.9 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-tokyo-night-storm-left-0_git20250..> | 545.6 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-zenburn-0_git20250312-r0.apk | 529.3 KiB | 2025-Mar-13 15:27 |
simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 540.1 KiB | 2025-Mar-13 15:27 |
simpleble-0.10.3-r0.apk | 1.2 KiB | 2025-Jul-15 11:22 |
simpleble-dev-0.10.3-r0.apk | 27.9 KiB | 2025-Jul-15 11:22 |
sing-box-1.11.15-r0.apk | 11.5 MiB | 2025-Jul-10 14:56 |
sing-box-bash-completion-1.11.15-r0.apk | 5.1 KiB | 2025-Jul-10 14:56 |
sing-box-fish-completion-1.11.15-r0.apk | 4.4 KiB | 2025-Jul-10 14:56 |
sing-box-openrc-1.11.15-r0.apk | 2.1 KiB | 2025-Jul-10 14:56 |
sing-box-zsh-completion-1.11.15-r0.apk | 4.1 KiB | 2025-Jul-10 14:56 |
sing-geoip-20250612-r0.apk | 2.3 MiB | 2025-Jun-16 18:48 |
sing-geosite-20250627153051-r0.apk | 1.1 MiB | 2025-Jul-10 14:56 |
singular-4.4.1_p2-r0.apk | 10.0 MiB | 2025-Jul-02 20:18 |
singular-dev-4.4.1_p2-r0.apk | 359.1 KiB | 2025-Jul-02 20:18 |
singular-doc-4.4.1_p2-r0.apk | 1.3 MiB | 2025-Jul-02 20:18 |
singular-emacs-4.4.1_p2-r0.apk | 101.6 KiB | 2025-Jul-02 20:18 |
singular-static-4.4.1_p2-r0.apk | 5.3 MiB | 2025-Jul-02 20:18 |
sipexer-1.2.0-r4.apk | 2.8 MiB | 2025-Jul-10 05:28 |
sipgrep-2.2.0-r1.apk | 26.0 KiB | 2024-Oct-25 23:07 |
siril-1.2.6-r3.apk | 2.7 MiB | 2025-Jul-07 10:21 |
siril-doc-1.2.6-r3.apk | 17.8 KiB | 2025-Jul-07 10:21 |
siril-lang-1.2.6-r3.apk | 1.6 MiB | 2025-Jul-07 10:21 |
sish-2.16.1-r6.apk | 7.9 MiB | 2025-Jul-10 05:28 |
sish-openrc-2.16.1-r6.apk | 1.9 KiB | 2025-Jul-10 05:28 |
slidge-0.1.3-r0.apk | 144.6 KiB | 2024-Oct-25 23:07 |
slidge-doc-0.1.3-r0.apk | 4.5 KiB | 2024-Oct-25 23:07 |
slidge-matridge-0.1.0-r0.apk | 29.7 KiB | 2024-Oct-25 23:07 |
slidge-matridge-openrc-0.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 23:07 |
slidge-matridge-pyc-0.1.0-r0.apk | 37.9 KiB | 2024-Oct-25 23:07 |
slidge-openrc-0.1.3-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
slidge-pyc-0.1.3-r0.apk | 285.0 KiB | 2024-Oct-25 23:07 |
sloccount-2.26-r3.apk | 58.7 KiB | 2024-Oct-25 23:07 |
sloccount-doc-2.26-r3.apk | 59.4 KiB | 2024-Oct-25 23:07 |
slurm-0.4.4-r0.apk | 14.5 KiB | 2024-Oct-25 23:07 |
slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
smassh-3.1.6-r0.apk | 72.4 KiB | 2024-Nov-21 05:56 |
smassh-pyc-3.1.6-r0.apk | 70.2 KiB | 2024-Nov-21 05:56 |
smile-2.10.1-r0.apk | 720.6 KiB | 2025-Apr-09 01:08 |
smile-lang-2.10.1-r0.apk | 25.1 KiB | 2025-Apr-09 01:08 |
smplxmpp-0.9.3-r4.apk | 141.9 KiB | 2024-Dec-18 14:47 |
smplxmpp-doc-0.9.3-r4.apk | 24.8 KiB | 2024-Dec-18 14:47 |
snapper-0.12.2-r0.apk | 932.1 KiB | 2025-May-15 00:14 |
snapper-bash-completion-0.12.2-r0.apk | 3.1 KiB | 2025-May-15 00:14 |
snapper-dev-0.12.2-r0.apk | 10.4 KiB | 2025-May-15 00:14 |
snapper-doc-0.12.2-r0.apk | 25.0 KiB | 2025-May-15 00:14 |
snapper-lang-0.12.2-r0.apk | 212.0 KiB | 2025-May-15 00:14 |
snapper-zsh-completion-0.12.2-r0.apk | 3.6 KiB | 2025-May-15 00:14 |
snapraid-12.4-r0.apk | 264.5 KiB | 2025-May-15 00:14 |
snapraid-doc-12.4-r0.apk | 17.3 KiB | 2025-May-15 00:14 |
sndfile-tools-1.5-r1.apk | 37.4 KiB | 2024-Oct-25 23:07 |
sndfile-tools-doc-1.5-r1.apk | 361.0 KiB | 2024-Oct-25 23:07 |
snippets-ls-0.0.4_git20240617-r6.apk | 1.3 MiB | 2025-Jul-10 05:28 |
snore-0.3.1-r0.apk | 4.9 KiB | 2024-Oct-25 23:07 |
snore-doc-0.3.1-r0.apk | 3.0 KiB | 2024-Oct-25 23:07 |
so-0.4.10-r0.apk | 2.1 MiB | 2024-Oct-25 23:07 |
soapy-bladerf-0.4.2-r0.apk | 48.5 KiB | 2024-Dec-31 04:11 |
soapy-hackrf-0.3.4-r2.apk | 27.2 KiB | 2024-Oct-25 23:07 |
soapy-sdr-remote-0.5.2-r1.apk | 185.5 KiB | 2024-Oct-25 23:07 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2.3 KiB | 2024-Oct-25 23:07 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1.7 KiB | 2024-Oct-25 23:07 |
solanum-6.0.0-r0.apk | 262.9 KiB | 2025-Apr-10 15:58 |
solanum-lang-6.0.0-r0.apk | 47.3 KiB | 2025-Apr-10 15:58 |
solarus-engine-1.7.0-r2.apk | 1.9 MiB | 2025-Jul-24 04:27 |
solarus-engine-doc-1.7.0-r2.apk | 3.3 KiB | 2025-Jul-24 04:27 |
somebar-1.0.3-r0.apk | 33.9 KiB | 2024-Oct-25 23:07 |
somebar-doc-1.0.3-r0.apk | 2.4 KiB | 2024-Oct-25 23:07 |
sonicradio-0.7.1-r0.apk | 3.0 MiB | 2025-Jul-24 04:27 |
sopwith-2.5.0-r0.apk | 48.2 KiB | 2024-Oct-25 23:07 |
sopwith-doc-2.5.0-r0.apk | 14.9 KiB | 2024-Oct-25 23:07 |
sos-0.8-r32.apk | 2.7 MiB | 2025-Jul-10 05:28 |
soundconverter-4.1.0-r0.apk | 164.7 KiB | 2025-Jun-24 19:41 |
soundconverter-doc-4.1.0-r0.apk | 4.7 KiB | 2025-Jun-24 19:41 |
soundconverter-lang-4.1.0-r0.apk | 106.9 KiB | 2025-Jun-24 19:41 |
soundconverter-pyc-4.1.0-r0.apk | 76.8 KiB | 2025-Jun-24 19:41 |
spacectl-1.12.0-r2.apk | 5.6 MiB | 2025-Jul-10 05:28 |
spacectl-bash-completion-1.12.0-r2.apk | 2.0 KiB | 2025-Jul-10 05:28 |
spacectl-doc-1.12.0-r2.apk | 2.3 KiB | 2025-Jul-10 05:28 |
spacectl-fish-completion-1.12.0-r2.apk | 7.1 KiB | 2025-Jul-10 05:28 |
spacectl-zsh-completion-1.12.0-r2.apk | 1.8 KiB | 2025-Jul-10 05:28 |
spacer-0.4.5-r0.apk | 981.3 KiB | 2025-Jul-26 18:01 |
spacer-doc-0.4.5-r0.apk | 3.1 KiB | 2025-Jul-26 18:01 |
spampd-2.62-r0.apk | 38.5 KiB | 2025-Feb-26 01:25 |
spampd-openrc-2.62-r0.apk | 2.0 KiB | 2025-Feb-26 01:25 |
spark-2.8.3-r1.apk | 28.9 MiB | 2024-Oct-25 23:07 |
speedcrunch-0.12-r3.apk | 1.1 MiB | 2024-Oct-25 23:07 |
speedtest-5.2.5-r1.apk | 253.5 KiB | 2024-Oct-25 23:07 |
speedtest-doc-5.2.5-r1.apk | 18.4 KiB | 2024-Oct-25 23:07 |
speedtest-examples-5.2.5-r1.apk | 13.0 KiB | 2024-Oct-25 23:07 |
speedtest-go-1.1.5-r16.apk | 5.4 MiB | 2025-Jul-10 05:28 |
speedtest-go-doc-1.1.5-r16.apk | 4.5 KiB | 2025-Jul-10 05:28 |
speedtest-go-openrc-1.1.5-r16.apk | 1.7 KiB | 2025-Jul-10 05:28 |
speedtest_exporter-0.3.2-r16.apk | 3.8 MiB | 2025-Jul-10 05:28 |
speedtest_exporter-openrc-0.3.2-r16.apk | 1.9 KiB | 2025-Jul-10 05:28 |
spice-html5-0.3.0-r1.apk | 438.5 KiB | 2024-Oct-25 23:07 |
spike-1.1.0-r0.apk | 1013.9 KiB | 2024-Oct-25 23:07 |
spiritvnc-0.6.5-r0.apk | 49.1 KiB | 2024-Nov-03 08:09 |
spnavcfg-1.1-r0.apk | 35.2 KiB | 2024-Oct-25 23:07 |
spotify-tui-0.25.0-r2.apk | 1.9 MiB | 2024-Oct-25 23:07 |
spread-sheet-widget-0.10-r0.apk | 42.2 KiB | 2024-Oct-25 23:07 |
spread-sheet-widget-dbg-0.10-r0.apk | 193.8 KiB | 2024-Oct-25 23:07 |
spread-sheet-widget-dev-0.10-r0.apk | 341.4 KiB | 2024-Oct-25 23:07 |
spread-sheet-widget-doc-0.10-r0.apk | 4.6 KiB | 2024-Oct-25 23:07 |
spreadtrum_flash-1.20240815-r0.apk | 33.6 KiB | 2025-May-15 00:14 |
spvm-errno-0.093-r1.apk | 15.4 KiB | 2025-Jun-30 10:14 |
spvm-errno-doc-0.093-r1.apk | 6.0 KiB | 2025-Jun-30 10:14 |
spvm-math-1.006-r1.apk | 17.8 KiB | 2025-Jun-30 10:14 |
spvm-math-doc-1.006-r1.apk | 6.9 KiB | 2025-Jun-30 10:14 |
spvm-mime-base64-1.003-r1.apk | 13.4 KiB | 2025-Jun-30 10:14 |
spvm-mime-base64-doc-1.003-r1.apk | 5.5 KiB | 2025-Jun-30 10:14 |
spvm-thread-0.003-r1.apk | 10.6 KiB | 2025-Jun-30 10:14 |
spvm-thread-doc-0.003-r1.apk | 6.0 KiB | 2025-Jun-30 10:14 |
sqlar-0_git20180107-r1.apk | 14.8 KiB | 2024-Oct-25 23:07 |
sqlar-doc-0_git20180107-r1.apk | 3.3 KiB | 2024-Oct-25 23:07 |
sqliteodbc-0.99991-r0.apk | 89.1 KiB | 2024-Oct-25 23:07 |
sqlmap-1.9.7-r0.apk | 6.8 MiB | 2025-Jul-15 11:22 |
sqlmap-pyc-1.9.7-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
sqm-scripts-1.6.0-r0.apk | 20.4 KiB | 2024-Oct-25 23:07 |
sqruff-0.25.26-r0.apk | 2.1 MiB | 2025-Apr-13 23:35 |
sqruff-doc-0.25.26-r0.apk | 8.6 KiB | 2025-Apr-13 23:35 |
srain-1.8.1-r0.apk | 151.8 KiB | 2025-Jun-26 21:35 |
srain-lang-1.8.1-r0.apk | 35.1 KiB | 2025-Jun-26 21:35 |
sregex-0.0.1-r1.apk | 19.1 KiB | 2024-Oct-25 23:07 |
sregex-dev-0.0.1-r1.apk | 23.7 KiB | 2024-Oct-25 23:07 |
ssdfs-tools-4.09-r0.apk | 109.4 KiB | 2024-Oct-25 23:07 |
ssdfs-tools-dev-4.09-r0.apk | 18.5 KiB | 2024-Oct-25 23:07 |
ssh-cert-authority-2.0.0-r27.apk | 4.8 MiB | 2025-Jul-10 05:28 |
ssh-honeypot-0.1.1-r1.apk | 8.3 KiB | 2024-Oct-25 23:07 |
ssh-honeypot-openrc-0.1.1-r1.apk | 2.1 KiB | 2024-Oct-25 23:07 |
ssh-tools-1.8-r0.apk | 25.6 KiB | 2024-Oct-25 23:07 |
sshs-4.7.2-r0.apk | 736.7 KiB | 2025-Apr-24 01:22 |
sshsrv-1.0-r13.apk | 968.0 KiB | 2025-Jul-10 05:28 |
sshuttle-1.1.2-r0.apk | 62.2 KiB | 2024-Oct-25 23:07 |
sshuttle-doc-1.1.2-r0.apk | 8.5 KiB | 2024-Oct-25 23:07 |
sshuttle-pyc-1.1.2-r0.apk | 100.7 KiB | 2024-Oct-25 23:07 |
sssd-2.11.0-r0.apk | 2.2 MiB | 2025-Jun-06 11:59 |
sssd-dev-2.11.0-r0.apk | 14.8 KiB | 2025-Jun-06 11:59 |
sssd-openrc-2.11.0-r0.apk | 1.7 KiB | 2025-Jun-06 11:59 |
ssss-0.5.7-r0.apk | 11.7 KiB | 2024-Oct-25 23:07 |
ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
sstp-client-1.0.20-r2.apk | 37.0 KiB | 2025-May-30 13:24 |
sstp-client-dev-1.0.20-r2.apk | 5.2 KiB | 2025-May-30 13:24 |
sstp-client-doc-1.0.20-r2.apk | 4.9 KiB | 2025-May-30 13:24 |
stalwart-cli-0.13.1-r0.apk | 2.5 MiB | 2025-Jul-24 04:27 |
stalwart-mail-0.13.1-r0.apk | 17.3 MiB | 2025-Jul-24 04:27 |
stalwart-mail-openrc-0.13.1-r0.apk | 2.1 KiB | 2025-Jul-24 04:27 |
starfighter-2.4-r0.apk | 47.9 MiB | 2024-Oct-25 23:07 |
starfighter-doc-2.4-r0.apk | 21.6 KiB | 2024-Oct-25 23:07 |
startup-2.0.3-r5.apk | 519.8 KiB | 2024-Oct-25 23:07 |
startup-bridge-dconf-2.0.3-r5.apk | 37.7 KiB | 2024-Oct-25 23:07 |
startup-bridge-udev-2.0.3-r5.apk | 37.3 KiB | 2024-Oct-25 23:07 |
startup-dev-2.0.3-r5.apk | 5.8 KiB | 2024-Oct-25 23:07 |
startup-doc-2.0.3-r5.apk | 47.6 KiB | 2024-Oct-25 23:07 |
startup-fish-completion-2.0.3-r5.apk | 5.4 KiB | 2024-Oct-25 23:07 |
startup-lang-2.0.3-r5.apk | 16.5 KiB | 2024-Oct-25 23:07 |
startup-tools-2.0.3-r5.apk | 13.3 KiB | 2024-Oct-25 23:07 |
stayrtr-0.6.2-r5.apk | 10.5 MiB | 2025-Jul-10 05:28 |
stayrtr-openrc-0.6.2-r5.apk | 2.0 KiB | 2025-Jul-10 05:28 |
steamguard-cli-0.17.1-r0.apk | 3.1 MiB | 2025-Jul-15 11:22 |
steamguard-cli-bash-completion-0.17.1-r0.apk | 2.9 KiB | 2025-Jul-15 11:22 |
steamguard-cli-zsh-completion-0.17.1-r0.apk | 4.2 KiB | 2025-Jul-15 11:22 |
steghide-0.5.1.1-r0.apk | 135.1 KiB | 2024-Oct-25 23:07 |
steghide-doc-0.5.1.1-r0.apk | 13.7 KiB | 2024-Oct-25 23:07 |
stern-1.32.0-r5.apk | 17.7 MiB | 2025-Jul-10 05:28 |
stern-bash-completion-1.32.0-r5.apk | 5.8 KiB | 2025-Jul-10 05:28 |
stern-fish-completion-1.32.0-r5.apk | 4.3 KiB | 2025-Jul-10 05:28 |
stern-zsh-completion-1.32.0-r5.apk | 4.0 KiB | 2025-Jul-10 05:28 |
sthttpd-2.27.1-r2.apk | 62.8 KiB | 2024-Oct-25 23:07 |
sthttpd-doc-2.27.1-r2.apk | 18.2 KiB | 2024-Oct-25 23:07 |
sthttpd-openrc-2.27.1-r2.apk | 2.0 KiB | 2024-Oct-25 23:07 |
stockfish-17-r0.apk | 61.8 MiB | 2025-Mar-04 01:42 |
stone-soup-0.32.1-r0.apk | 31.3 MiB | 2024-Oct-25 23:07 |
stw-0.3-r0.apk | 7.3 KiB | 2024-Oct-25 23:07 |
stw-doc-0.3-r0.apk | 2.5 KiB | 2024-Oct-25 23:07 |
subdl-0_git20230616-r1.apk | 8.7 KiB | 2024-Oct-25 23:07 |
subdl-pyc-0_git20230616-r1.apk | 14.1 KiB | 2024-Oct-25 23:07 |
sublime-music-0.12.0-r1.apk | 189.5 KiB | 2024-Oct-25 23:07 |
sublime-music-pyc-0.12.0-r1.apk | 302.2 KiB | 2024-Oct-25 23:07 |
subliminal-2.2.1-r1.apk | 68.4 KiB | 2025-May-15 00:14 |
subliminal-pyc-2.2.1-r1.apk | 134.7 KiB | 2025-May-15 00:14 |
sudo-ldap-1.9.17_p1-r0.apk | 861.7 KiB | 2025-Jul-01 21:57 |
supercollider-3.13.0-r6.apk | 7.4 MiB | 2025-Feb-09 02:43 |
supercollider-dev-3.13.0-r6.apk | 39.2 KiB | 2025-Feb-09 02:43 |
supermin-5.2.2-r2.apk | 505.1 KiB | 2024-Oct-25 23:07 |
supermin-doc-5.2.2-r2.apk | 9.4 KiB | 2024-Oct-25 23:07 |
surf-2.1-r3.apk | 21.5 KiB | 2024-Oct-25 23:07 |
surf-doc-2.1-r3.apk | 4.6 KiB | 2024-Oct-25 23:07 |
surfraw-2.3.0-r0.apk | 78.7 KiB | 2024-Oct-25 23:07 |
surfraw-doc-2.3.0-r0.apk | 17.7 KiB | 2024-Oct-25 23:07 |
suru-icon-theme-2025.05.0-r0.apk | 2.9 MiB | 2025-May-15 00:14 |
svgbob-0.7.6-r0.apk | 472.3 KiB | 2025-Feb-01 22:55 |
svls-0.2.12-r0.apk | 3.5 MiB | 2024-Oct-25 23:07 |
svls-doc-0.2.12-r0.apk | 2.2 KiB | 2024-Oct-25 23:07 |
swaks-20240103.0-r0.apk | 66.1 KiB | 2024-Oct-25 23:07 |
swaks-doc-20240103.0-r0.apk | 49.6 KiB | 2024-Oct-25 23:07 |
swappy-1.5.1-r0.apk | 26.9 KiB | 2024-Oct-25 23:07 |
swappy-doc-1.5.1-r0.apk | 3.7 KiB | 2024-Oct-25 23:07 |
swappy-lang-1.5.1-r0.apk | 3.6 KiB | 2024-Oct-25 23:07 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 9.4 KiB | 2024-Oct-25 23:07 |
swayhide-0.2.1-r2.apk | 259.1 KiB | 2024-Oct-25 23:07 |
swhkd-1.2.1-r0.apk | 1.1 MiB | 2024-Oct-25 23:07 |
swhkd-doc-1.2.1-r0.apk | 6.2 KiB | 2024-Oct-25 23:07 |
swi-prolog-9.2.9-r0.apk | 4.6 MiB | 2024-Dec-21 13:08 |
swi-prolog-doc-9.2.9-r0.apk | 2.1 MiB | 2024-Dec-21 13:08 |
swi-prolog-pyc-9.2.9-r0.apk | 22.5 KiB | 2024-Dec-21 13:08 |
swi-prolog-xpce-9.2.9-r0.apk | 921.8 KiB | 2024-Dec-21 13:08 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1.0 MiB | 2024-Dec-21 13:08 |
sxcs-1.1.0-r0.apk | 8.6 KiB | 2024-Oct-25 23:07 |
sxcs-doc-1.1.0-r0.apk | 2.6 KiB | 2024-Oct-25 23:07 |
sydbox-3.21.3-r0.apk | 1.4 MiB | 2024-Oct-25 23:07 |
sydbox-doc-3.21.3-r0.apk | 83.9 KiB | 2024-Oct-25 23:07 |
sydbox-oci-3.21.3-r0.apk | 1.8 MiB | 2024-Oct-25 23:07 |
sydbox-utils-3.21.3-r0.apk | 6.3 MiB | 2024-Oct-25 23:07 |
sydbox-vim-3.21.3-r0.apk | 5.2 KiB | 2024-Oct-25 23:07 |
sylpheed-imap-notify-1.1.0-r2.apk | 7.8 KiB | 2024-Oct-25 23:07 |
symbiyosys-0.36-r0.apk | 37.6 KiB | 2024-Oct-25 23:07 |
symengine-0.12.0-r0.apk | 3.5 MiB | 2024-Oct-25 23:07 |
symlinks-1.4.3-r0.apk | 6.0 KiB | 2025-Apr-23 00:28 |
symlinks-doc-1.4.3-r0.apk | 3.9 KiB | 2025-Apr-23 00:28 |
sympow-2.023.7-r2.apk | 1.8 MiB | 2025-May-02 17:16 |
sympow-doc-2.023.7-r2.apk | 3.1 KiB | 2025-May-02 17:16 |
synapse-bt-1.0-r4.apk | 1.1 MiB | 2024-Oct-25 23:07 |
synapse-bt-cli-1.0-r4.apk | 1017.6 KiB | 2024-Oct-25 23:07 |
synapse-bt-openrc-1.0-r4.apk | 1.8 KiB | 2024-Oct-25 23:07 |
syncthing-gtk-0.9.4.5-r2.apk | 440.0 KiB | 2024-Oct-25 23:07 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 2.2 KiB | 2024-Oct-25 23:07 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 220.6 KiB | 2024-Oct-25 23:07 |
t2sz-1.1.2-r0.apk | 8.4 KiB | 2024-Oct-25 23:07 |
tabby-3.1-r1.apk | 27.0 KiB | 2024-Oct-25 23:07 |
tabby-doc-3.1-r1.apk | 2.2 KiB | 2024-Oct-25 23:07 |
tachyon-0.99_beta6-r1.apk | 88.2 KiB | 2024-Oct-25 23:07 |
tachyon-scenes-0.99_beta6-r1.apk | 1.9 MiB | 2024-Oct-25 23:07 |
tailspin-5.4.2-r0.apk | 1.2 MiB | 2025-May-15 00:14 |
tailspin-bash-completion-5.4.2-r0.apk | 2.2 KiB | 2025-May-15 00:14 |
tailspin-doc-5.4.2-r0.apk | 3.0 KiB | 2025-May-15 00:14 |
tailspin-fish-completion-5.4.2-r0.apk | 2.1 KiB | 2025-May-15 00:14 |
tailspin-zsh-completion-5.4.2-r0.apk | 2.5 KiB | 2025-May-15 00:14 |
tang-15-r0.apk | 15.1 KiB | 2025-Jan-25 10:04 |
tang-dbg-15-r0.apk | 31.9 KiB | 2025-Jan-25 10:04 |
tang-doc-15-r0.apk | 20.6 KiB | 2025-Jan-25 10:04 |
tang-openrc-15-r0.apk | 1.9 KiB | 2025-Jan-25 10:04 |
tangara-companion-0.4.3-r0.apk | 1.1 MiB | 2024-Dec-18 00:07 |
tangctl-0_git20241007-r5.apk | 2.6 MiB | 2025-Jul-10 05:28 |
tanidvr-1.4.1-r2.apk | 21.9 KiB | 2025-Mar-21 14:52 |
tanidvr-dhav2mkv-1.4.1-r2.apk | 12.2 KiB | 2025-Mar-21 14:52 |
tartube-2.5.0-r1.apk | 2.7 MiB | 2024-Oct-25 23:07 |
tartube-pyc-2.5.0-r1.apk | 1.1 MiB | 2024-Oct-25 23:07 |
taskcafe-0.3.6-r14.apk | 13.5 MiB | 2025-Jul-10 05:29 |
taskcafe-openrc-0.3.6-r14.apk | 1.8 KiB | 2025-Jul-10 05:29 |
taskwarrior-tui-0.26.3-r0.apk | 1.2 MiB | 2025-Mar-26 13:25 |
taskwarrior-tui-bash-completion-0.26.3-r0.apk | 2.0 KiB | 2025-Mar-26 13:25 |
taskwarrior-tui-doc-0.26.3-r0.apk | 3.9 KiB | 2025-Mar-26 13:25 |
taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1.7 KiB | 2025-Mar-26 13:25 |
tayga-0.9.5-r0.apk | 25.6 KiB | 2025-Jun-20 10:58 |
tayga-doc-0.9.5-r0.apk | 6.1 KiB | 2025-Jun-20 10:58 |
tcl-curl-7.22.0-r0.apk | 28.7 KiB | 2024-Oct-25 23:07 |
tcl-curl-doc-7.22.0-r0.apk | 37.7 KiB | 2024-Oct-25 23:07 |
tcl9-9.0.2-r0.apk | 1.8 MiB | 2025-Jul-03 11:13 |
tcl9-dev-9.0.2-r0.apk | 184.3 KiB | 2025-Jul-03 11:13 |
tcl9-doc-9.0.2-r0.apk | 1.4 MiB | 2025-Jul-03 11:13 |
tdrop-0.5.0-r0.apk | 11.8 KiB | 2024-Oct-25 23:07 |
tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2024-Oct-25 23:07 |
tealdeer-1.7.2-r0.apk | 909.6 KiB | 2025-Mar-23 16:18 |
tealdeer-bash-completion-1.7.2-r0.apk | 2.0 KiB | 2025-Mar-23 16:18 |
tealdeer-fish-completion-1.7.2-r0.apk | 2.2 KiB | 2025-Mar-23 16:18 |
tealdeer-zsh-completion-1.7.2-r0.apk | 2.3 KiB | 2025-Mar-23 16:18 |
telegram-bot-api-9.0-r0.apk | 7.0 MiB | 2025-Apr-14 04:12 |
telegram-tdlib-1.8.47-r0.apk | 6.9 MiB | 2025-Apr-14 04:08 |
telegram-tdlib-dev-1.8.47-r0.apk | 182.1 KiB | 2025-Apr-14 04:08 |
telegram-tdlib-static-1.8.47-r0.apk | 19.7 MiB | 2025-Apr-14 04:08 |
templ-0.3.920-r0.apk | 5.1 MiB | 2025-Jul-24 13:50 |
tenv-4.7.6-r1.apk | 9.3 MiB | 2025-Jul-10 05:29 |
tenv-bash-completion-4.7.6-r1.apk | 6.1 KiB | 2025-Jul-10 05:29 |
tenv-fish-completion-4.7.6-r1.apk | 4.3 KiB | 2025-Jul-10 05:29 |
tenv-zsh-completion-4.7.6-r1.apk | 4.0 KiB | 2025-Jul-10 05:29 |
tere-1.6.0-r0.apk | 1.0 MiB | 2024-Oct-25 23:07 |
tere-doc-1.6.0-r0.apk | 14.0 KiB | 2024-Oct-25 23:07 |
termbox-1.1.2-r1.apk | 11.1 KiB | 2024-Oct-25 23:07 |
termbox-dev-1.1.2-r1.apk | 5.7 KiB | 2024-Oct-25 23:07 |
termbox-static-1.1.2-r1.apk | 11.9 KiB | 2024-Oct-25 23:07 |
termcolor-2.1.0-r0.apk | 1.5 KiB | 2024-Oct-25 23:07 |
termcolor-dev-2.1.0-r0.apk | 6.8 KiB | 2024-Oct-25 23:07 |
terminology-1.14.0-r0.apk | 2.7 MiB | 2025-May-28 01:49 |
terminology-doc-1.14.0-r0.apk | 9.0 KiB | 2025-May-28 01:49 |
terminology-lang-1.14.0-r0.apk | 143.0 KiB | 2025-May-28 01:49 |
termusic-mpv-0.7.11-r0.apk | 6.2 MiB | 2024-Oct-25 23:07 |
tfupdate-0.8.2-r7.apk | 4.7 MiB | 2025-Jul-10 05:29 |
tfupdate-doc-0.8.2-r7.apk | 2.3 KiB | 2025-Jul-10 05:29 |
theforceengine-1.09.540-r1.apk | 6.8 MiB | 2024-Oct-25 23:07 |
theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-Oct-25 23:07 |
thefuck-3.32-r5.apk | 83.3 KiB | 2024-Oct-25 23:07 |
thefuck-pyc-3.32-r5.apk | 155.7 KiB | 2024-Oct-25 23:07 |
thelounge-4.4.3-r0.apk | 27.9 MiB | 2024-Oct-25 23:07 |
thelounge-doc-4.4.3-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
thelounge-openrc-4.4.3-r0.apk | 2.0 KiB | 2024-Oct-25 23:07 |
theme.sh-1.1.5-r0.apk | 39.1 KiB | 2024-Oct-25 23:07 |
theme.sh-doc-1.1.5-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
throttled-0.10.0-r1.apk | 14.6 KiB | 2024-Dec-15 22:22 |
throttled-openrc-0.10.0-r1.apk | 1.6 KiB | 2024-Dec-15 22:22 |
throttled-pyc-0.10.0-r1.apk | 28.4 KiB | 2024-Dec-15 22:22 |
thumbdrives-0.3.2-r2.apk | 10.9 KiB | 2024-Oct-25 23:07 |
thunar-gtkhash-plugin-1.5-r0.apk | 21.8 KiB | 2024-Oct-25 23:07 |
thunarx-python-0.5.2-r2.apk | 9.4 KiB | 2024-Oct-25 23:07 |
thunarx-python-doc-0.5.2-r2.apk | 25.2 KiB | 2024-Oct-25 23:07 |
tick-1.2.2-r0.apk | 10.6 KiB | 2025-Jan-14 04:26 |
tick-doc-1.2.2-r0.apk | 5.5 KiB | 2025-Jan-14 04:26 |
ticker-4.8.1-r3.apk | 4.0 MiB | 2025-Jul-10 05:29 |
ticker-bash-completion-4.8.1-r3.apk | 4.6 KiB | 2025-Jul-10 05:29 |
ticker-fish-completion-4.8.1-r3.apk | 3.9 KiB | 2025-Jul-10 05:29 |
ticker-zsh-completion-4.8.1-r3.apk | 3.7 KiB | 2025-Jul-10 05:29 |
timeshift-24.06.6-r0.apk | 466.3 KiB | 2025-Apr-24 01:22 |
timeshift-doc-24.06.6-r0.apk | 3.2 KiB | 2025-Apr-24 01:22 |
timeshift-lang-24.06.6-r0.apk | 916.8 KiB | 2025-Apr-24 01:22 |
timew-1.4.3-r1.apk | 248.3 KiB | 2024-Oct-25 23:07 |
timew-bash-completion-1.4.3-r1.apk | 2.8 KiB | 2024-Oct-25 23:07 |
timew-doc-1.4.3-r1.apk | 53.2 KiB | 2024-Oct-25 23:07 |
timewarrior-1.7.1-r0.apk | 262.3 KiB | 2024-Oct-25 23:07 |
timewarrior-doc-1.7.1-r0.apk | 22.2 KiB | 2024-Oct-25 23:07 |
tintin-2.02.31-r0.apk | 1.8 MiB | 2024-Oct-25 23:07 |
tinyemu-2019.12.21-r0.apk | 107.6 KiB | 2025-May-26 02:35 |
tinygltf-2.9.6-r0.apk | 139.3 KiB | 2025-Jun-08 19:33 |
tinygltf-dev-2.9.6-r0.apk | 57.2 KiB | 2025-Jun-08 19:33 |
tinyscheme-1.42-r1.apk | 51.5 KiB | 2024-Oct-25 23:07 |
tk9-9.0.2-r0.apk | 829.6 KiB | 2025-Jul-03 11:13 |
tk9-dev-9.0.2-r0.apk | 81.4 KiB | 2025-Jul-03 11:13 |
tk9-doc-9.0.2-r0.apk | 1.3 MiB | 2025-Jul-03 11:13 |
tldr-python-client-3.3.0-r0.apk | 12.1 KiB | 2024-Dec-01 20:09 |
tldr-python-client-doc-3.3.0-r0.apk | 3.5 KiB | 2024-Dec-01 20:09 |
tldr-python-client-pyc-3.3.0-r0.apk | 14.2 KiB | 2024-Dec-01 20:09 |
tmate-2.4.0-r4.apk | 235.7 KiB | 2024-Oct-25 23:07 |
tmate-doc-2.4.0-r4.apk | 71.7 KiB | 2024-Oct-25 23:07 |
tmpl-0.4.0-r12.apk | 2.6 MiB | 2025-Jul-10 05:29 |
tmpl-doc-0.4.0-r12.apk | 2.2 KiB | 2025-Jul-10 05:29 |
tmpmail-1.2.3-r2.apk | 7.0 KiB | 2024-Oct-25 23:07 |
tmpmail-doc-1.2.3-r2.apk | 3.2 KiB | 2024-Oct-25 23:07 |
tmux-resurrect-4.0.0-r0.apk | 13.9 KiB | 2024-Oct-25 23:07 |
tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2024-Oct-25 23:07 |
tncattach-0.1.9-r1.apk | 21.5 KiB | 2024-Oct-25 23:07 |
tncattach-doc-0.1.9-r1.apk | 3.9 KiB | 2024-Oct-25 23:07 |
tnef-1.4.18-r0.apk | 25.1 KiB | 2024-Oct-25 23:07 |
tnef-doc-1.4.18-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
toapk-1.0-r0.apk | 10.4 KiB | 2024-Oct-25 23:07 |
today-6.2.1-r0.apk | 3.2 KiB | 2025-Jun-17 18:29 |
today-doc-6.2.1-r0.apk | 3.3 KiB | 2025-Jun-17 18:29 |
tofutf-0.10.0-r6.apk | 1.3 KiB | 2025-Jul-10 05:29 |
tofutf-agent-0.10.0-r6.apk | 8.9 MiB | 2025-Jul-10 05:29 |
tofutf-agent-openrc-0.10.0-r6.apk | 2.0 KiB | 2025-Jul-10 05:29 |
tofutf-cli-0.10.0-r6.apk | 8.2 MiB | 2025-Jul-10 05:29 |
tofutf-server-0.10.0-r6.apk | 12.9 MiB | 2025-Jul-10 05:29 |
tofutf-server-openrc-0.10.0-r6.apk | 2.0 KiB | 2025-Jul-10 05:29 |
toml2json-1.3.1-r0.apk | 369.2 KiB | 2024-Oct-25 23:07 |
toml2json-doc-1.3.1-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
topgit-0.19.13-r1.apk | 126.7 KiB | 2024-Oct-25 23:07 |
topgit-bash-completion-0.19.13-r1.apk | 4.0 KiB | 2024-Oct-25 23:07 |
topgit-doc-0.19.13-r1.apk | 73.4 KiB | 2024-Oct-25 23:07 |
torrent-file-editor-0.3.18-r0.apk | 359.9 KiB | 2024-Oct-25 23:07 |
toss-1.1-r1.apk | 11.2 KiB | 2025-May-29 14:57 |
touchpad-emulator-0.3-r0.apk | 13.6 KiB | 2025-May-26 12:33 |
toybox-0.8.12-r0.apk | 295.2 KiB | 2025-Jul-24 04:27 |
tpm2-pkcs11-1.9.1-r0.apk | 131.5 KiB | 2024-Oct-25 23:07 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1.9 KiB | 2024-Oct-25 23:07 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 68.9 KiB | 2024-Oct-25 23:07 |
tqm-1.13.0-r1.apk | 4.5 MiB | 2025-Jul-10 05:29 |
trace-cmd-3.3.1-r1.apk | 163.6 KiB | 2025-Jan-25 10:04 |
trace-cmd-bash-completion-3.3.1-r1.apk | 3.3 KiB | 2025-Jan-25 10:04 |
trace-cmd-dbg-3.3.1-r1.apk | 508.7 KiB | 2025-Jan-25 10:04 |
trace-cmd-doc-3.3.1-r1.apk | 171.3 KiB | 2025-Jan-25 10:04 |
transmission-remote-gtk-1.6.0-r0.apk | 137.5 KiB | 2024-Oct-25 23:07 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 106.0 KiB | 2024-Oct-25 23:07 |
trantor-1.5.18-r0.apk | 211.5 KiB | 2024-Oct-25 23:07 |
trantor-dev-1.5.18-r0.apk | 34.0 KiB | 2024-Oct-25 23:07 |
trantor-doc-1.5.18-r0.apk | 2.6 KiB | 2024-Oct-25 23:07 |
tre-0.8.0-r2.apk | 24.2 KiB | 2024-Oct-25 23:07 |
tre-dev-0.8.0-r2.apk | 5.2 KiB | 2024-Oct-25 23:07 |
tre-static-0.8.0-r2.apk | 25.5 KiB | 2024-Oct-25 23:07 |
tree-sitter-caddy-0_git20230322-r0.apk | 84.4 KiB | 2024-Oct-25 23:07 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
tree-sitter-clojure-0.0.13-r0.apk | 22.7 KiB | 2025-Jul-24 04:27 |
tree-sitter-dart-0_git20250228-r0.apk | 99.4 KiB | 2025-Mar-11 01:54 |
tree-sitter-git-commit-0_git20211225-r4.apk | 13.7 KiB | 2025-Jul-25 15:56 |
tree-sitter-git-diff-0_git20230730-r1.apk | 9.7 KiB | 2025-Jul-25 15:56 |
tree-sitter-git-rebase-0_git20240722-r0.apk | 5.0 KiB | 2025-Mar-11 01:54 |
tree-sitter-gleam-1.0.0-r0.apk | 43.6 KiB | 2024-Oct-25 23:07 |
tree-sitter-hare-0_git20230616-r2.apk | 35.1 KiB | 2025-Jul-24 04:27 |
tree-sitter-haskell-0.23.1-r0.apk | 284.3 KiB | 2025-Jan-09 18:53 |
tree-sitter-hcl-1.2.0-r0.apk | 22.5 KiB | 2025-Jun-19 03:17 |
tree-sitter-just-0_git20230318-r0.apk | 13.4 KiB | 2024-Oct-25 23:07 |
tree-sitter-make-0_git20211216-r2.apk | 41.3 KiB | 2024-Oct-25 23:07 |
tree-sitter-pascal-0.9.1-r0.apk | 82.8 KiB | 2024-Oct-25 23:07 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
tremc-0.9.4-r0.apk | 52.6 KiB | 2025-Jul-16 11:09 |
tremc-bash-completion-0.9.4-r0.apk | 1.9 KiB | 2025-Jul-16 11:09 |
tremc-doc-0.9.4-r0.apk | 2.8 KiB | 2025-Jul-16 11:09 |
tremc-zsh-completion-0.9.4-r0.apk | 1.8 KiB | 2025-Jul-16 11:09 |
trigger-rally-0.6.7-r3.apk | 293.4 KiB | 2025-Mar-25 18:01 |
trigger-rally-data-0.6.7-r3.apk | 352.0 MiB | 2025-Mar-25 18:01 |
trigger-rally-doc-0.6.7-r3.apk | 28.1 KiB | 2025-Mar-25 18:01 |
trippy-0.13.0-r0.apk | 2.2 MiB | 2025-May-19 02:21 |
trippy-bash-completion-0.13.0-r0.apk | 3.2 KiB | 2025-May-19 02:21 |
trippy-zsh-completion-0.13.0-r0.apk | 4.8 KiB | 2025-May-19 02:21 |
trivy-0.64.1-r1.apk | 63.2 MiB | 2025-Jul-10 05:29 |
tsung-1.8.0-r3.apk | 721.8 KiB | 2025-Jun-13 08:36 |
ttfautohint-1.8.4-r0.apk | 26.5 KiB | 2024-Oct-25 23:07 |
ttfautohint-dev-1.8.4-r0.apk | 152.0 KiB | 2024-Oct-25 23:07 |
ttfautohint-doc-1.8.4-r0.apk | 8.1 KiB | 2024-Oct-25 23:07 |
ttfautohint-gui-1.8.4-r0.apk | 55.1 KiB | 2024-Oct-25 23:07 |
ttfautohint-libs-1.8.4-r0.apk | 104.0 KiB | 2024-Oct-25 23:07 |
tty-clock-2.3_git20240104-r0.apk | 8.4 KiB | 2024-Oct-25 23:07 |
tty-clock-doc-2.3_git20240104-r0.apk | 3.1 KiB | 2024-Oct-25 23:07 |
tty-proxy-0.0.2-r29.apk | 2.6 MiB | 2025-Jul-10 05:29 |
tty-share-2.4.0-r19.apk | 3.7 MiB | 2025-Jul-10 05:29 |
ttyper-1.6.0-r0.apk | 609.9 KiB | 2025-Feb-06 00:45 |
tui-journal-0.10.0-r0.apk | 1.9 MiB | 2024-Oct-25 23:07 |
tui-journal-doc-0.10.0-r0.apk | 6.9 KiB | 2024-Oct-25 23:07 |
tuned-2.25.1-r2.apk | 158.8 KiB | 2025-May-28 13:42 |
tuned-bash-completion-2.25.1-r2.apk | 1.9 KiB | 2025-May-28 13:42 |
tuned-doc-2.25.1-r2.apk | 78.6 KiB | 2025-May-28 13:42 |
tuned-gtk-2.25.1-r2.apk | 22.0 KiB | 2025-May-28 13:42 |
tuned-openrc-2.25.1-r2.apk | 1.7 KiB | 2025-May-28 13:42 |
tuned-ppd-2.25.1-r2.apk | 3.7 KiB | 2025-May-28 13:42 |
tuned-ppd-openrc-2.25.1-r2.apk | 1.8 KiB | 2025-May-28 13:42 |
tuned-profiles-2.25.1-r2.apk | 8.2 KiB | 2025-May-28 13:42 |
tuned-profiles-compat-2.25.1-r2.apk | 3.6 KiB | 2025-May-28 13:42 |
tuned-pyc-2.25.1-r2.apk | 332.7 KiB | 2025-May-28 13:42 |
tuned-utils-2.25.1-r2.apk | 10.6 KiB | 2025-May-28 13:42 |
tup-0.7.11-r1.apk | 230.0 KiB | 2025-Jun-19 11:34 |
tup-doc-0.7.11-r1.apk | 20.9 KiB | 2025-Jun-19 11:34 |
tup-vim-0.7.11-r1.apk | 2.5 KiB | 2025-Jun-19 11:34 |
tuptime-5.2.4-r1.apk | 13.8 KiB | 2024-Nov-29 01:44 |
tuptime-doc-5.2.4-r1.apk | 3.7 KiB | 2024-Nov-29 01:44 |
tuptime-openrc-5.2.4-r1.apk | 1.7 KiB | 2024-Nov-29 01:44 |
turn-rs-3.4.0-r1.apk | 594.1 KiB | 2025-Jun-12 15:08 |
turn-rs-doc-3.4.0-r1.apk | 10.8 KiB | 2025-Jun-12 15:08 |
turn-rs-openrc-3.4.0-r1.apk | 2.0 KiB | 2025-Jun-12 15:08 |
turnstile-0.1.10-r3.apk | 38.0 KiB | 2024-Oct-25 23:07 |
turnstile-doc-0.1.10-r3.apk | 5.7 KiB | 2024-Oct-25 23:07 |
turnstile-openrc-0.1.10-r3.apk | 1.8 KiB | 2024-Oct-25 23:07 |
turntable-0.3.3-r0.apk | 175.3 KiB | 2025-May-27 16:01 |
turntable-lang-0.3.3-r0.apk | 12.3 KiB | 2025-May-27 16:01 |
twemproxy-0.5.0-r0.apk | 61.0 KiB | 2024-Oct-25 23:07 |
twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2024-Oct-25 23:07 |
twiggy-0.6.0-r3.apk | 785.4 KiB | 2024-Oct-25 23:07 |
twinkle-1.10.3-r3.apk | 2.4 MiB | 2025-Jan-05 00:55 |
twinkle-doc-1.10.3-r3.apk | 3.5 KiB | 2025-Jan-05 00:55 |
ty-0.0.1_alpha15-r0.apk | 5.6 MiB | 2025-Jul-25 15:12 |
ty-bash-completion-0.0.1_alpha15-r0.apk | 2.5 KiB | 2025-Jul-25 15:12 |
ty-fish-completion-0.0.1_alpha15-r0.apk | 3.1 KiB | 2025-Jul-25 15:12 |
ty-pyc-0.0.1_alpha15-r0.apk | 3.9 KiB | 2025-Jul-25 15:12 |
ty-zsh-completion-0.0.1_alpha15-r0.apk | 3.4 KiB | 2025-Jul-25 15:12 |
typobuster-1.0.0-r0.apk | 129.4 KiB | 2025-Apr-24 01:22 |
typstyle-0.12.14-r0.apk | 528.6 KiB | 2025-Jan-12 16:45 |
u1db-qt-0.1.8-r0.apk | 92.6 KiB | 2024-Oct-25 23:07 |
uasm-2.56.2-r0.apk | 286.6 KiB | 2024-Oct-25 23:07 |
ubase-20200605-r3.apk | 45.7 KiB | 2024-Oct-25 23:07 |
ubase-doc-20200605-r3.apk | 21.2 KiB | 2024-Oct-25 23:07 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16.1 KiB | 2024-Oct-25 23:07 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.3 KiB | 2024-Oct-25 23:07 |
uclient-20241022-r0.apk | 15.8 KiB | 2025-May-30 01:00 |
uclient-dev-20241022-r0.apk | 3.5 KiB | 2025-May-30 01:00 |
uclient-fetch-20241022-r0.apk | 11.4 KiB | 2025-May-30 01:00 |
udpt-3.1.2-r0.apk | 717.5 KiB | 2024-Oct-25 23:07 |
udpt-openrc-3.1.2-r0.apk | 1.8 KiB | 2024-Oct-25 23:07 |
ueberzug-18.3.1-r0.apk | 64.2 KiB | 2025-Feb-22 14:09 |
ueberzug-pyc-18.3.1-r0.apk | 63.8 KiB | 2025-Feb-22 14:09 |
undock-0.10.0-r1.apk | 9.8 MiB | 2025-Jul-10 05:29 |
unit-php81-1.34.2-r1.apk | 29.7 KiB | 2025-Apr-24 03:44 |
unit-php85-1.34.2-r0.apk | 29.8 KiB | 2025-Jul-02 21:51 |
up-0.4-r30.apk | 1.2 MiB | 2025-Jul-10 05:29 |
upterm-0.14.3-r6.apk | 5.8 MiB | 2025-Jul-10 05:29 |
upterm-bash-completion-0.14.3-r6.apk | 5.5 KiB | 2025-Jul-10 05:29 |
upterm-doc-0.14.3-r6.apk | 6.4 KiB | 2025-Jul-10 05:29 |
upterm-server-0.14.3-r6.apk | 5.7 MiB | 2025-Jul-10 05:29 |
upterm-server-openrc-0.14.3-r6.apk | 1.9 KiB | 2025-Jul-10 05:29 |
upterm-zsh-completion-0.14.3-r6.apk | 4.0 KiB | 2025-Jul-10 05:29 |
urlwatch-2.28-r2.apk | 48.7 KiB | 2024-Oct-25 23:07 |
urlwatch-doc-2.28-r2.apk | 33.2 KiB | 2024-Oct-25 23:07 |
urlwatch-pyc-2.28-r2.apk | 101.4 KiB | 2024-Oct-25 23:07 |
usbmuxd-1.1.1-r9.apk | 33.8 KiB | 2025-Apr-18 17:39 |
usbmuxd-doc-1.1.1-r9.apk | 3.0 KiB | 2025-Apr-18 17:39 |
usbmuxd-udev-1.1.1-r9.apk | 2.0 KiB | 2025-Apr-18 17:39 |
usbtop-1.0-r0.apk | 12.9 KiB | 2025-Apr-12 15:26 |
ustr-1.0.4-r1.apk | 48.0 KiB | 2024-Oct-25 23:07 |
ustr-debug-1.0.4-r1.apk | 64.6 KiB | 2024-Oct-25 23:07 |
ustr-dev-1.0.4-r1.apk | 91.5 KiB | 2024-Oct-25 23:07 |
ustr-doc-1.0.4-r1.apk | 96.6 KiB | 2024-Oct-25 23:07 |
ustr-static-1.0.4-r1.apk | 131.8 KiB | 2024-Oct-25 23:07 |
utop-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 23:07 |
utop-common-2.9.1-r4.apk | 2.0 KiB | 2024-Oct-25 23:07 |
utop-doc-2.9.1-r4.apk | 5.7 KiB | 2024-Oct-25 23:07 |
utop-emacs-2.9.1-r4.apk | 12.8 KiB | 2024-Oct-25 23:07 |
utop-full-2.9.1-r4.apk | 13.3 MiB | 2024-Oct-25 23:07 |
uucp-1.07-r6.apk | 467.6 KiB | 2024-Oct-25 23:07 |
uucp-doc-1.07-r6.apk | 118.1 KiB | 2024-Oct-25 23:07 |
uxn-1.0-r0.apk | 42.1 KiB | 2024-Oct-25 23:07 |
uxn-doc-1.0-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
vals-0.41.2-r1.apk | 28.4 MiB | 2025-Jul-10 05:29 |
varnish-modules-0.26.0-r0.apk | 42.0 KiB | 2025-Jul-06 21:24 |
varnish-modules-doc-0.26.0-r0.apk | 21.5 KiB | 2025-Jul-06 21:24 |
vbindiff-3.0_beta5-r2.apk | 20.2 KiB | 2025-May-25 10:49 |
vbindiff-doc-3.0_beta5-r2.apk | 5.5 KiB | 2025-May-25 10:49 |
vcdimager-2.0.1-r5.apk | 481.3 KiB | 2025-Jan-25 10:04 |
vcdimager-dev-2.0.1-r5.apk | 118.1 KiB | 2025-Jan-25 10:04 |
vcdimager-doc-2.0.1-r5.apk | 74.3 KiB | 2025-Jan-25 10:04 |
vcsh-2.0.5-r0.apk | 8.8 KiB | 2024-Oct-25 23:07 |
vcsh-bash-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 23:07 |
vcsh-doc-2.0.5-r0.apk | 27.2 KiB | 2024-Oct-25 23:07 |
vcsh-zsh-completion-2.0.5-r0.apk | 2.9 KiB | 2024-Oct-25 23:07 |
vcstool-0.3.0-r5.apk | 34.8 KiB | 2024-Oct-25 23:07 |
vcstool-bash-completion-0.3.0-r5.apk | 1.8 KiB | 2024-Oct-25 23:07 |
vcstool-pyc-0.3.0-r5.apk | 57.7 KiB | 2024-Oct-25 23:07 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1.6 KiB | 2024-Oct-25 23:07 |
vcstool-zsh-completion-0.3.0-r5.apk | 1.7 KiB | 2024-Oct-25 23:07 |
vectoroids-1.1.0-r2.apk | 281.1 KiB | 2024-Oct-25 23:07 |
vectoroids-doc-1.1.0-r2.apk | 2.3 KiB | 2024-Oct-25 23:07 |
vera++-1.3.0-r10.apk | 245.0 KiB | 2024-Oct-25 23:07 |
vfd-configurations-0_git20230612-r0.apk | 24.8 KiB | 2024-Oct-25 23:07 |
vice-3.9-r0.apk | 12.6 MiB | 2025-Feb-25 13:17 |
vice-doc-3.9-r0.apk | 2.2 MiB | 2025-Feb-25 13:17 |
video-trimmer-25.03-r0.apk | 397.0 KiB | 2025-Jun-30 16:46 |
video-trimmer-lang-25.03-r0.apk | 92.6 KiB | 2025-Jun-30 16:46 |
viewnior-1.8-r1.apk | 69.8 KiB | 2024-Oct-25 23:07 |
viewnior-doc-1.8-r1.apk | 2.1 KiB | 2024-Oct-25 23:07 |
viewnior-lang-1.8-r1.apk | 85.2 KiB | 2024-Oct-25 23:07 |
vile-9.8z_p1-r1.apk | 759.8 KiB | 2025-Jun-30 10:14 |
vile-common-9.8z_p1-r1.apk | 354.4 KiB | 2025-Jun-30 10:14 |
vile-doc-9.8z_p1-r1.apk | 357.2 KiB | 2025-Jun-30 10:14 |
vim-airline-0.11-r0.apk | 86.4 KiB | 2024-Oct-25 23:07 |
vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2024-Oct-25 23:07 |
vim-nerdtree-7.1.3-r0.apk | 66.6 KiB | 2025-Mar-05 00:00 |
vim-rust-305-r0.apk | 20.1 KiB | 2024-Oct-25 23:07 |
virtctl-1.5.1-r1.apk | 14.5 MiB | 2025-Jul-10 05:29 |
virtctl-bash-completion-1.5.1-r1.apk | 5.1 KiB | 2025-Jul-10 05:29 |
virtctl-fish-completion-1.5.1-r1.apk | 4.3 KiB | 2025-Jul-10 05:29 |
virtctl-zsh-completion-1.5.1-r1.apk | 4.0 KiB | 2025-Jul-10 05:29 |
virter-0.29.0-r1.apk | 5.6 MiB | 2025-Jul-10 05:29 |
virter-bash-completion-0.29.0-r1.apk | 6.1 KiB | 2025-Jul-10 05:29 |
virter-doc-0.29.0-r1.apk | 14.9 KiB | 2025-Jul-10 05:29 |
virter-fish-completion-0.29.0-r1.apk | 4.3 KiB | 2025-Jul-10 05:29 |
virter-zsh-completion-0.29.0-r1.apk | 4.1 KiB | 2025-Jul-10 05:29 |
virtualgl-3.1.3-r0.apk | 1.7 MiB | 2025-Apr-23 00:28 |
virtualgl-dev-3.1.3-r0.apk | 6.1 KiB | 2025-Apr-23 00:28 |
virtualgl-doc-3.1.3-r0.apk | 313.7 KiB | 2025-Apr-23 00:28 |
visidata-3.2-r0.apk | 420.6 KiB | 2025-Jun-20 14:14 |
visidata-doc-3.2-r0.apk | 18.2 KiB | 2025-Jun-20 14:14 |
visidata-pyc-3.2-r0.apk | 840.7 KiB | 2025-Jun-20 14:14 |
visidata-zsh-completion-3.2-r0.apk | 9.3 KiB | 2025-Jun-20 14:14 |
vit-2.3.2-r1.apk | 80.5 KiB | 2024-Oct-25 23:07 |
vit-pyc-2.3.2-r1.apk | 151.5 KiB | 2024-Oct-25 23:07 |
vkbasalt-0.3.2.10-r0.apk | 367.3 KiB | 2024-Oct-25 23:07 |
vkbasalt-doc-0.3.2.10-r0.apk | 3.1 KiB | 2024-Oct-25 23:07 |
vmtouch-1.3.1-r0.apk | 13.1 KiB | 2024-Oct-25 23:07 |
vmtouch-doc-1.3.1-r0.apk | 8.0 KiB | 2024-Oct-25 23:07 |
voikko-fi-2.5-r0.apk | 1.6 MiB | 2024-Oct-25 23:07 |
volumeicon-0.5.1-r1.apk | 40.6 KiB | 2024-Oct-25 23:07 |
volumeicon-lang-0.5.1-r1.apk | 3.8 KiB | 2024-Oct-25 23:07 |
vym-2.9.26-r0.apk | 2.8 MiB | 2024-Oct-25 23:07 |
vym-doc-2.9.26-r0.apk | 3.4 MiB | 2024-Oct-25 23:07 |
w_scan2-1.0.17-r0.apk | 142.1 KiB | 2025-Jun-11 20:33 |
w_scan2-doc-1.0.17-r0.apk | 4.2 KiB | 2025-Jun-11 20:33 |
wabt-1.0.37-r0.apk | 3.8 MiB | 2025-Apr-07 00:39 |
wabt-doc-1.0.37-r0.apk | 13.4 KiB | 2025-Apr-07 00:39 |
waifu2x-converter-cpp-5.3.4-r9.apk | 11.6 MiB | 2025-Jul-07 10:21 |
wakeonlan-0.42-r0.apk | 4.5 KiB | 2024-Oct-25 23:07 |
wakeonlan-doc-0.42-r0.apk | 7.5 KiB | 2024-Oct-25 23:07 |
walk-1.13.0-r4.apk | 3.0 MiB | 2025-Jul-10 05:29 |
walk-doc-1.13.0-r4.apk | 2.2 KiB | 2025-Jul-10 05:29 |
walk-sor-0_git20190920-r1.apk | 5.2 KiB | 2024-Oct-25 23:07 |
walk-sor-doc-0_git20190920-r1.apk | 7.8 KiB | 2024-Oct-25 23:07 |
warpinator-1.8.9-r0.apk | 216.0 KiB | 2025-Jul-26 23:09 |
warpinator-lang-1.8.9-r0.apk | 222.3 KiB | 2025-Jul-26 23:09 |
warpinator-nemo-1.8.9-r0.apk | 4.2 KiB | 2025-Jul-26 23:09 |
wasmtime-34.0.1-r0.apk | 6.9 MiB | 2025-Jul-24 04:27 |
wasmtime-dev-34.0.1-r0.apk | 90.8 KiB | 2025-Jul-24 04:27 |
watchbind-0.2.1-r1.apk | 1.1 MiB | 2024-Oct-25 23:07 |
watchbind-doc-0.2.1-r1.apk | 6.6 KiB | 2024-Oct-25 23:07 |
watchdog-5.16-r2.apk | 41.7 KiB | 2024-Oct-25 23:07 |
watchdog-doc-5.16-r2.apk | 14.2 KiB | 2024-Oct-25 23:07 |
watchmate-0.5.3-r0.apk | 2.3 MiB | 2025-May-16 20:22 |
watershot-0.2.0-r0.apk | 1.7 MiB | 2024-Oct-25 23:07 |
way-displays-1.14.1-r0.apk | 94.8 KiB | 2025-Jul-05 19:46 |
way-displays-doc-1.14.1-r0.apk | 4.6 KiB | 2025-Jul-05 19:46 |
way-secure-0.2.0-r0.apk | 208.2 KiB | 2025-Apr-19 01:10 |
way-secure-doc-0.2.0-r0.apk | 3.0 KiB | 2025-Apr-19 01:10 |
wayfire-0.9.0-r0.apk | 2.4 MiB | 2025-Feb-27 23:17 |
wayfire-dev-0.9.0-r0.apk | 129.9 KiB | 2025-Feb-27 23:17 |
wayfire-doc-0.9.0-r0.apk | 3.6 KiB | 2025-Feb-27 23:17 |
wayfire-plugins-extra-0.9.0-r0.apk | 526.0 KiB | 2025-Feb-27 23:17 |
waylevel-1.0.0-r1.apk | 301.7 KiB | 2024-Oct-25 23:07 |
waynergy-0.0.17-r0.apk | 45.7 KiB | 2024-Oct-25 23:07 |
wbg-1.2.0-r1.apk | 36.2 KiB | 2025-May-15 00:14 |
wch-isp-0.4.1-r2.apk | 10.4 KiB | 2024-Oct-25 23:07 |
wch-isp-doc-0.4.1-r2.apk | 2.7 KiB | 2024-Oct-25 23:07 |
wch-isp-udev-rules-0.4.1-r2.apk | 1.6 KiB | 2024-Oct-25 23:07 |
wcm-0.9.0-r0.apk | 353.0 KiB | 2025-Feb-27 23:17 |
webhookd-1.20.2-r1.apk | 3.2 MiB | 2025-Jul-10 05:29 |
webhookd-doc-1.20.2-r1.apk | 2.3 KiB | 2025-Jul-10 05:29 |
webhookd-openrc-1.20.2-r1.apk | 2.2 KiB | 2025-Jul-10 05:29 |
webtunnel-0.0.2-r2.apk | 3.6 MiB | 2025-Jul-10 05:29 |
weggli-0.2.4-r1.apk | 825.5 KiB | 2024-Oct-25 23:07 |
wf-config-0.9.0-r0.apk | 103.3 KiB | 2025-Feb-27 23:17 |
wf-config-dev-0.9.0-r0.apk | 16.3 KiB | 2025-Feb-27 23:17 |
wf-shell-0.9.0-r0.apk | 6.1 MiB | 2025-Feb-27 23:17 |
wf-shell-dev-0.9.0-r0.apk | 1.7 KiB | 2025-Feb-27 23:17 |
wf-shell-doc-0.9.0-r0.apk | 3.1 KiB | 2025-Feb-27 23:17 |
wgcf-2.2.26-r1.apk | 3.9 MiB | 2025-Jul-10 05:29 |
wgcf-bash-completion-2.2.26-r1.apk | 6.1 KiB | 2025-Jul-10 05:29 |
wgcf-fish-completion-2.2.26-r1.apk | 4.3 KiB | 2025-Jul-10 05:29 |
wgcf-zsh-completion-2.2.26-r1.apk | 4.0 KiB | 2025-Jul-10 05:29 |
wiki-tui-0.8.2-r1.apk | 1.5 MiB | 2024-Oct-25 23:07 |
wiki-tui-doc-0.8.2-r1.apk | 4.6 KiB | 2024-Oct-25 23:07 |
wiremapper-0.10.0-r0.apk | 21.7 KiB | 2024-Oct-25 23:07 |
wiringx-0_git20240317-r2.apk | 48.4 KiB | 2025-Mar-03 19:08 |
wiringx-dev-0_git20240317-r2.apk | 66.9 KiB | 2025-Mar-03 19:08 |
witchery-0.0.3-r2.apk | 3.2 KiB | 2024-Oct-25 23:07 |
wk-adblock-0.0.4-r5.apk | 172.4 KiB | 2024-Oct-25 23:07 |
wk-adblock-doc-0.0.4-r5.apk | 2.1 KiB | 2024-Oct-25 23:07 |
wl-clipboard-x11-5-r3.apk | 3.4 KiB | 2024-Oct-25 23:07 |
wl-clipboard-x11-doc-5-r3.apk | 2.9 KiB | 2024-Oct-25 23:07 |
wl-gammarelay-0.1.3-r0.apk | 1.6 MiB | 2025-Jul-26 13:55 |
wl-ime-type-0.1.1-r0.apk | 5.2 KiB | 2025-Apr-13 13:08 |
wl-ime-type-doc-0.1.1-r0.apk | 2.2 KiB | 2025-Apr-13 13:08 |
wl-kbptr-0.4.0-r0.apk | 25.0 KiB | 2025-Jul-24 04:27 |
wl-kbptr-doc-0.4.0-r0.apk | 4.8 KiB | 2025-Jul-24 04:27 |
wl-kbptr-full-0.4.0-r0.apk | 30.9 KiB | 2025-Jul-24 04:27 |
wl-screenrec-0.1.7-r0.apk | 574.6 KiB | 2025-Apr-13 13:08 |
wl-screenrec-bash-completion-0.1.7-r0.apk | 2.4 KiB | 2025-Apr-13 13:08 |
wl-screenrec-doc-0.1.7-r0.apk | 9.5 KiB | 2025-Apr-13 13:08 |
wl-screenrec-fish-completion-0.1.7-r0.apk | 3.2 KiB | 2025-Apr-13 13:08 |
wl-screenrec-zsh-completion-0.1.7-r0.apk | 3.7 KiB | 2025-Apr-13 13:08 |
wlavu-0_git20201101-r1.apk | 11.4 KiB | 2024-Oct-25 23:07 |
wlclock-1.0.1-r0.apk | 15.0 KiB | 2024-Oct-25 23:07 |
wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2024-Oct-25 23:07 |
wlroots0.12-0.12.0-r1.apk | 209.0 KiB | 2024-Oct-25 23:07 |
wlroots0.12-dbg-0.12.0-r1.apk | 996.9 KiB | 2024-Oct-25 23:07 |
wlroots0.12-dev-0.12.0-r1.apk | 60.7 KiB | 2024-Oct-25 23:07 |
wlroots0.15-0.15.1-r6.apk | 263.9 KiB | 2024-Oct-25 23:07 |
wlroots0.15-dbg-0.15.1-r6.apk | 1.2 MiB | 2024-Oct-25 23:07 |
wlroots0.15-dev-0.15.1-r6.apk | 70.4 KiB | 2024-Oct-25 23:07 |
wlroots0.16-0.16.2-r0.apk | 316.5 KiB | 2024-Oct-28 16:47 |
wlroots0.16-dbg-0.16.2-r0.apk | 1.3 MiB | 2024-Oct-28 16:47 |
wlroots0.16-dev-0.16.2-r0.apk | 70.7 KiB | 2024-Oct-28 16:47 |
wlroots0.17-0.17.4-r1.apk | 349.9 KiB | 2024-Nov-23 12:00 |
wlroots0.17-dbg-0.17.4-r1.apk | 1.5 MiB | 2024-Nov-23 12:00 |
wlroots0.17-dev-0.17.4-r1.apk | 77.4 KiB | 2024-Nov-23 12:00 |
wmctrl-1.07-r1.apk | 13.7 KiB | 2024-Oct-25 23:07 |
wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2024-Oct-25 23:07 |
wmi-client-1.3.16-r5.apk | 2.3 MiB | 2024-Oct-25 23:07 |
wok-3.0.0-r6.apk | 156.6 KiB | 2024-Oct-25 23:07 |
wok-doc-3.0.0-r6.apk | 3.7 KiB | 2024-Oct-25 23:07 |
wok-lang-3.0.0-r6.apk | 16.0 KiB | 2024-Oct-25 23:07 |
wok-pyc-3.0.0-r6.apk | 118.7 KiB | 2024-Oct-25 23:07 |
wol-0.7.1-r3.apk | 24.7 KiB | 2024-Oct-25 23:07 |
wol-doc-0.7.1-r3.apk | 5.5 KiB | 2024-Oct-25 23:07 |
wol-lang-0.7.1-r3.apk | 8.2 KiB | 2024-Oct-25 23:07 |
wolfssh-1.4.17-r0.apk | 130.9 KiB | 2024-Oct-25 23:07 |
wolfssh-dev-1.4.17-r0.apk | 170.8 KiB | 2024-Oct-25 23:07 |
wpa_actiond-1.4-r7.apk | 9.3 KiB | 2024-Oct-25 23:07 |
wpa_actiond-openrc-1.4-r7.apk | 2.2 KiB | 2024-Oct-25 23:07 |
wput-0.6.2-r4.apk | 38.6 KiB | 2024-Oct-25 23:07 |
wput-doc-0.6.2-r4.apk | 8.2 KiB | 2024-Oct-25 23:07 |
wroomd-0.1.0-r0.apk | 1.0 MiB | 2024-Oct-25 23:07 |
wroomd-openrc-0.1.0-r0.apk | 1.7 KiB | 2024-Oct-25 23:07 |
wshowkeys-1.0-r0.apk | 12.3 KiB | 2024-Oct-25 23:07 |
wsmancli-2.8.0-r0.apk | 17.1 KiB | 2025-Jul-15 11:22 |
wsmancli-doc-2.8.0-r0.apk | 3.7 KiB | 2025-Jul-15 11:22 |
wtfutil-0.43.0-r14.apk | 19.0 MiB | 2025-Jul-10 05:29 |
x11docker-7.6.0-r1.apk | 113.4 KiB | 2024-Oct-25 23:07 |
x11docker-doc-7.6.0-r1.apk | 9.4 KiB | 2024-Oct-25 23:07 |
xa-2.4.1-r0.apk | 69.1 KiB | 2025-Feb-25 15:36 |
xa-doc-2.4.1-r0.apk | 17.2 KiB | 2025-Feb-25 15:36 |
xcape-1.2-r1.apk | 6.6 KiB | 2025-May-15 00:14 |
xcape-doc-1.2-r1.apk | 3.1 KiB | 2025-May-15 00:14 |
xcompmgr-1.1.10-r0.apk | 14.9 KiB | 2025-Jun-28 14:24 |
xcompmgr-doc-1.1.10-r0.apk | 2.6 KiB | 2025-Jun-28 14:24 |
xdg-ninja-0.2.0.2-r0.apk | 70.4 KiB | 2024-Oct-25 23:07 |
xed-3.8.2-r0.apk | 1.1 MiB | 2025-Feb-06 01:11 |
xed-dev-3.8.2-r0.apk | 13.6 KiB | 2025-Feb-06 01:11 |
xed-doc-3.8.2-r0.apk | 970.9 KiB | 2025-Feb-06 01:11 |
xed-lang-3.8.2-r0.apk | 2.1 MiB | 2025-Feb-06 01:11 |
xed-python-3.8.2-r0.apk | 24.3 KiB | 2025-Feb-06 01:11 |
xendmail-0.4.3-r0.apk | 855.7 KiB | 2024-Oct-25 23:07 |
xendmail-doc-0.4.3-r0.apk | 2.5 KiB | 2024-Oct-25 23:07 |
xfce4-hamster-plugin-1.17-r0.apk | 30.6 KiB | 2024-Oct-25 23:07 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2024-Oct-25 23:07 |
xfce4-mixer-4.18.1-r2.apk | 81.9 KiB | 2024-Oct-25 23:07 |
xfce4-mixer-doc-4.18.1-r2.apk | 2.5 KiB | 2024-Oct-25 23:07 |
xfce4-mixer-lang-4.18.1-r2.apk | 58.7 KiB | 2024-Oct-25 23:07 |
xfce4-panel-profiles-1.1.1-r0.apk | 57.6 KiB | 2025-Jul-06 12:15 |
xfce4-panel-profiles-doc-1.1.1-r0.apk | 19.9 KiB | 2025-Jul-06 12:15 |
xfce4-panel-profiles-lang-1.1.1-r0.apk | 53.5 KiB | 2025-Jul-06 12:15 |
xfd-1.1.4-r0.apk | 12.9 KiB | 2024-Oct-25 23:07 |
xfd-doc-1.1.4-r0.apk | 4.9 KiB | 2024-Oct-25 23:07 |
xgalaga-2.1.1.0-r1.apk | 275.6 KiB | 2024-Oct-25 23:07 |
xgalaga-doc-2.1.1.0-r1.apk | 2.5 KiB | 2024-Oct-25 23:07 |
xiccd-0.3.0_git20211219-r1.apk | 14.9 KiB | 2024-Oct-25 23:07 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3.3 KiB | 2024-Oct-25 23:07 |
xisxwayland-2-r1.apk | 3.9 KiB | 2024-Oct-25 23:07 |
xisxwayland-doc-2-r1.apk | 2.0 KiB | 2024-Oct-25 23:07 |
xkb-switch-1.8.5-r1.apk | 18.8 KiB | 2025-May-15 00:14 |
xkb-switch-doc-1.8.5-r1.apk | 2.1 KiB | 2025-May-15 00:14 |
xlhtml-0.5.1-r0.apk | 10.7 KiB | 2024-Oct-25 23:07 |
xlhtml-doc-0.5.1-r0.apk | 2.5 KiB | 2024-Oct-25 23:07 |
xload-1.1.4-r0.apk | 6.2 KiB | 2024-Oct-25 23:07 |
xload-doc-1.1.4-r0.apk | 3.2 KiB | 2024-Oct-25 23:07 |
xmag-1.0.8-r0.apk | 15.8 KiB | 2024-Oct-25 23:07 |
xmag-doc-1.0.8-r0.apk | 4.7 KiB | 2024-Oct-25 23:07 |
xml2rfc-3.28.1-r0.apk | 351.8 KiB | 2025-Apr-18 14:01 |
xml2rfc-pyc-3.28.1-r0.apk | 407.5 KiB | 2025-Apr-18 14:01 |
xmp-4.2.0-r0.apk | 21.8 KiB | 2024-Oct-25 23:07 |
xmp-doc-4.2.0-r0.apk | 5.3 KiB | 2024-Oct-25 23:07 |
xmpp-dns-0.2.4-r25.apk | 1.9 MiB | 2025-Jul-10 05:29 |
xmppipe-0.16.0-r1.apk | 15.4 KiB | 2024-Oct-25 23:07 |
xone-src-0.3.1-r0.apk | 52.4 KiB | 2025-Jul-10 18:51 |
xonsh-0.19.1-r0.apk | 584.6 KiB | 2025-Feb-06 01:11 |
xonsh-pyc-0.19.1-r0.apk | 1.0 MiB | 2025-Feb-06 01:11 |
xosview-1.24-r0.apk | 111.6 KiB | 2024-Oct-25 23:07 |
xosview-doc-1.24-r0.apk | 12.5 KiB | 2024-Oct-25 23:07 |
xsane-0.999-r2.apk | 1.5 MiB | 2024-Oct-25 23:07 |
xsane-doc-0.999-r2.apk | 4.3 KiB | 2024-Oct-25 23:07 |
xsane-lang-0.999-r2.apk | 440.3 KiB | 2024-Oct-25 23:07 |
xsecurelock-1.9.0-r1.apk | 61.7 KiB | 2024-Oct-25 23:07 |
xsecurelock-doc-1.9.0-r1.apk | 17.8 KiB | 2024-Oct-25 23:07 |
xsoldier-1.8-r2.apk | 68.4 KiB | 2024-Oct-25 23:07 |
xsoldier-doc-1.8-r2.apk | 2.6 KiB | 2024-Oct-25 23:07 |
xtensor-0.25.0-r0.apk | 267.5 KiB | 2025-Jan-15 05:50 |
xtl-0.7.7-r0.apk | 110.5 KiB | 2024-Oct-25 23:07 |
xva-img-1.5-r0.apk | 16.4 KiB | 2024-Oct-25 23:07 |
xvidtune-1.0.4-r0.apk | 16.3 KiB | 2024-Oct-25 23:07 |
xvidtune-doc-1.0.4-r0.apk | 4.2 KiB | 2024-Oct-25 23:07 |
xvile-9.8z_p1-r1.apk | 784.9 KiB | 2025-Jun-30 10:14 |
xvkbd-4.1-r2.apk | 294.0 KiB | 2024-Oct-25 23:07 |
xvkbd-doc-4.1-r2.apk | 10.8 KiB | 2024-Oct-25 23:07 |
xwayland-satellite-0.6-r0.apk | 896.1 KiB | 2025-Jun-19 02:59 |
yamkix-0.10.0-r1.apk | 14.2 KiB | 2024-Oct-25 23:07 |
yamkix-pyc-0.10.0-r1.apk | 11.8 KiB | 2024-Oct-25 23:07 |
yaml-language-server-1.19.0-r1.apk | 742.7 KiB | 2025-Jul-15 11:22 |
yaml-language-server-doc-1.19.0-r1.apk | 2.3 KiB | 2025-Jul-15 11:22 |
yarn-berry-4.9.1-r0.apk | 1.0 MiB | 2025-Apr-16 01:00 |
yaru-common-25.10.1-r0.apk | 3.8 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-25.10.1-r0.apk | 35.3 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-bark-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-blue-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-magenta-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-mate-25.10.1-r0.apk | 1.3 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-olive-25.10.1-r0.apk | 1.1 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-prussiangreen-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-purple-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-red-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-sage-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-icon-theme-viridian-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-schemas-25.10.1-r0.apk | 1.9 KiB | 2025-Jul-15 11:22 |
yaru-shell-25.10.1-r0.apk | 226.8 KiB | 2025-Jul-15 11:22 |
yaru-sounds-25.10.1-r0.apk | 1.2 MiB | 2025-Jul-15 11:22 |
yaru-theme-25.10.1-r0.apk | 840.4 KiB | 2025-Jul-15 11:22 |
yaru-theme-bark-25.10.1-r0.apk | 762.8 KiB | 2025-Jul-15 11:22 |
yaru-theme-blue-25.10.1-r0.apk | 766.1 KiB | 2025-Jul-15 11:22 |
yaru-theme-hdpi-25.10.1-r0.apk | 73.3 KiB | 2025-Jul-15 11:22 |
yaru-theme-magenta-25.10.1-r0.apk | 761.9 KiB | 2025-Jul-15 11:22 |
yaru-theme-mate-25.10.1-r0.apk | 772.0 KiB | 2025-Jul-15 11:22 |
yaru-theme-olive-25.10.1-r0.apk | 759.2 KiB | 2025-Jul-15 11:22 |
yaru-theme-prussiangreen-25.10.1-r0.apk | 759.7 KiB | 2025-Jul-15 11:22 |
yaru-theme-purple-25.10.1-r0.apk | 756.9 KiB | 2025-Jul-15 11:22 |
yaru-theme-red-25.10.1-r0.apk | 759.9 KiB | 2025-Jul-15 11:22 |
yaru-theme-sage-25.10.1-r0.apk | 763.2 KiB | 2025-Jul-15 11:22 |
yaru-theme-viridian-25.10.1-r0.apk | 760.3 KiB | 2025-Jul-15 11:22 |
ydcv-0.7-r8.apk | 20.0 KiB | 2024-Oct-25 23:07 |
ydcv-pyc-0.7-r8.apk | 10.6 KiB | 2024-Oct-25 23:07 |
ydcv-zsh-completion-0.7-r8.apk | 2.2 KiB | 2024-Oct-25 23:07 |
yices2-2.6.5-r0.apk | 1.9 MiB | 2025-Mar-03 02:04 |
yices2-dev-2.6.5-r0.apk | 41.4 KiB | 2025-Mar-03 02:04 |
yices2-libs-2.6.5-r0.apk | 730.9 KiB | 2025-Mar-03 02:04 |
yoshimi-2.3.3.3-r0.apk | 5.9 MiB | 2025-Mar-14 01:33 |
yoshimi-doc-2.3.3.3-r0.apk | 4.5 MiB | 2025-Mar-14 01:33 |
yosys-0.42-r1.apk | 18.8 MiB | 2025-Jun-12 15:08 |
yosys-dev-0.42-r1.apk | 116.9 KiB | 2025-Jun-12 15:08 |
youtube-tui-0.8.3-r0.apk | 1.5 MiB | 2025-May-15 00:14 |
youtube-viewer-3.11.6-r0.apk | 83.8 KiB | 2025-Jun-09 08:05 |
youtube-viewer-doc-3.11.6-r0.apk | 40.6 KiB | 2025-Jun-09 08:05 |
youtube-viewer-gtk-3.11.6-r0.apk | 171.6 KiB | 2025-Jun-09 08:05 |
ytmdl-2024.08.15.1-r1.apk | 50.2 KiB | 2025-May-15 00:14 |
ytmdl-bash-completion-2024.08.15.1-r1.apk | 2.3 KiB | 2025-May-15 00:14 |
ytmdl-pyc-2024.08.15.1-r1.apk | 78.1 KiB | 2025-May-15 00:14 |
ytmdl-zsh-completion-2024.08.15.1-r1.apk | 2.1 KiB | 2025-May-15 00:14 |
yubikey-agent-0.1.6-r13.apk | 1.9 MiB | 2025-Jul-10 05:29 |
z-1.12-r0.apk | 4.6 KiB | 2024-Oct-25 23:07 |
z-doc-1.12-r0.apk | 3.9 KiB | 2024-Oct-25 23:07 |
zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2024-Oct-25 23:07 |
zapret-0.0.0_git20220125-r1.apk | 77.1 KiB | 2024-Oct-25 23:07 |
zapret-doc-0.0.0_git20220125-r1.apk | 98.5 KiB | 2024-Oct-25 23:07 |
zapret-openrc-0.0.0_git20220125-r1.apk | 2.1 KiB | 2024-Oct-25 23:07 |
zarchive-0.1.2-r2.apk | 15.2 KiB | 2024-Oct-25 23:07 |
zarchive-dev-0.1.2-r2.apk | 6.7 KiB | 2024-Oct-25 23:07 |
zarchive-libs-0.1.2-r2.apk | 22.1 KiB | 2024-Oct-25 23:07 |
zfs-src-2.3.3-r0.apk | 32.9 MiB | 2025-Jun-21 17:02 |
zfsbootmenu-2.3.0-r1.apk | 128.2 KiB | 2024-Oct-25 23:07 |
zfsbootmenu-doc-2.3.0-r1.apk | 15.8 KiB | 2024-Oct-25 23:07 |
zile-2.6.2-r1.apk | 110.0 KiB | 2024-Oct-25 23:07 |
zile-doc-2.6.2-r1.apk | 15.8 KiB | 2024-Oct-25 23:07 |
zita-njbridge-0.4.8-r1.apk | 25.8 KiB | 2024-Oct-25 23:07 |
zita-njbridge-doc-0.4.8-r1.apk | 5.2 KiB | 2024-Oct-25 23:07 |
zita-resampler-1.11.2-r0.apk | 17.0 KiB | 2025-Apr-15 00:16 |
zita-resampler-dev-1.11.2-r0.apk | 3.3 KiB | 2025-Apr-15 00:16 |
zita-resampler-doc-1.11.2-r0.apk | 4.0 KiB | 2025-Apr-15 00:16 |
zizmor-1.10.0-r0.apk | 3.4 MiB | 2025-Jun-28 20:38 |
zizmor-doc-1.10.0-r0.apk | 2.3 KiB | 2025-Jun-28 20:38 |
zlevis-1.3-r0.apk | 5.2 KiB | 2025-Mar-14 20:31 |
zsh-fzf-tab-0_git20220331-r1.apk | 16.1 KiB | 2024-Oct-25 23:07 |
zsh-histdb-skim-0.8.6-r0.apk | 753.9 KiB | 2024-Oct-25 23:07 |
zsh-manydots-magic-0_git20230607-r1.apk | 2.8 KiB | 2024-Oct-25 23:07 |
zutty-0.16-r0.apk | 148.6 KiB | 2025-Jan-13 00:01 |
zutty-doc-0.16-r0.apk | 66.6 KiB | 2025-Jan-13 00:01 |
zvbi-0.2.44-r0.apk | 180.0 KiB | 2025-Mar-11 23:40 |
zvbi-doc-0.2.44-r0.apk | 21.1 KiB | 2025-Mar-11 23:40 |
zycore-1.5.0-r1.apk | 19.8 KiB | 2025-Jul-15 11:22 |
zycore-dev-1.5.0-r1.apk | 37.4 KiB | 2025-Jul-15 11:22 |
zycore-doc-1.5.0-r1.apk | 432.6 KiB | 2025-Jul-15 11:22 |
zydis-4.1.0-r0.apk | 210.7 KiB | 2024-Oct-25 23:07 |
zydis-dev-4.1.0-r0.apk | 60.8 KiB | 2024-Oct-25 23:07 |
zydis-doc-4.1.0-r0.apk | 1.6 MiB | 2024-Oct-25 23:07 |